ALD2020 Session AF1-MoA: ALD Precursors I & II

Monday, June 29, 2020 2:00 PM in Room Auditorium

Monday Afternoon

Session Abstract Book
(302KB, Jul 28, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2020 Schedule

Start Invited? Item
2:00 PM AF1-MoA-3 Atomic Layer Deposition of Ruthenium-Containing Thin Films using RuO4 as both the Co-Reactant and the Metal Source
Matthias Minjauw, Ji-Yu Feng, Christophe Detavernier, Jolien Dendooven (Ghent University, Belgium)

Ruthenium-containing materials often display interesting physical and chemical properties, making them relevant to a wide variety of applications. For example, a range of Ru-based ternary oxides are promising electrocatalysts with excellent chemical stability for the oxygen evolution reaction (OER).1 In addition, their electronic properties make them interesting electrode materials, and some even show superconductivity.2,3 Ru-based bimetallic nanomaterials are also investigated for applications in electrocatalysis.4

As ruthenium is a rare element, it is advisable to use such materials not in their bulk form, but as thin films or nanoparticles on high surface area substrates. Therefore, ALD would be an appropriate method of synthesis. In ALD, the most common way of making ternary oxides or binary alloys is to alternate two different metal oxide or single metal ALD processes in sequence. The metal source is typically a metalorganic precursor, in which the metallic center is in a certain oxidation state, and a co-reactant is used to achieve the desired oxidation state in the target material.5 In this work we report a low temperature ALD route towards Ru-containing materials, in which the inorganic RuO4-precursor is used as both the Ru-source and the oxidizing co-reactant. If the target material is a Ru-containing ternary oxide, the RuO4-precursor can be directly combined with a metalorganic precursor in a 2-step process.

As a proof of concept, we first show that an ALD process for aluminum ruthenate can be obtained by combining Al(CH3)3 with RuO4 in a 2-step process at sample temperatures 50°C-125°C. Similarly, Pt-doped ruthenium oxide can be obtained by reaction of the classical Pt precursor, PtMe3CpMe, with RuO4 at sample temperatures 40°C-120°C. Both processes display good ALD-behavior, as is evident from the saturation of the half-reactions and the linearity of growth (shown in Figure 1 and 2 for the TMA/RuO4 process). As a general reaction mechanism for the 2-step process, we propose that the RuO4-precursor combusts the organic ligands of the chemisorbed metalorganic precursors, while simultaneously binding RuO2 to the surface. Both films are amorphous as-deposited, and the elemental composition is shown in Table 1. Finally, we show that a crystalline Ru-rich Pt-Ru alloy can be obtained by introducing an additional reduction step after the RuO4 exposure, making it a 3-step process (Table 1).

[1] Kim et al. J. Am. Chem. Soc., 2017, 139, 12076.

[2] Koster et al. Rev. Mod. Phys., 2012, 84, 253.

[3] Maeno et al. Nature, 1994, 372, 532.

[4] Li et al. Energy Environ. Sci., 2018, 11, 1232.

[5] Han et al., Chem. Mater., 2012, 24, 4686.

View Supplemental Document (pdf)
2:30 PM AF1-MoA-5 Visual Screening of Precursors for ALD/MLD
Ola Nilsen, Per-Anders Hansen (University of Oslo, Norway)

When evaluating possible new precursors for ALD/MLD, properties like sublimability, melting point and thermal decomposition is required. There are already well-established tools to provide such information, such as TGA-MS, DSC, DTA, and many more. However, these techniques typically involve routines that does not easily allow for handling of air sensitive materials, or easy access of compounds you fear are going to leave a mess. In addition, most techniques do not reveal what your compounds are doing in the dark.

We have filmed our compounds during thermal treatments and used image analysis to extract the equivalent of the properties above, being able to differentiate between decomposition, degassing and sublimation. While doing so, we discover compounds that jump, dance, pop and otherwise misbehave when heated. Such behavior will lead to misinterpretation by the techniques mentioned above, but when revealed by our visual approach, we are better suited at screening for suitable precursors for ALD and MLD processes. Examples from well-known ALD precursors to possible new types of suitable compounds will be given, in addition to a couple of surprises.

3:00 PM AF1-MoA-7 β-Silyl-Diamides and β-Silyl-Amidoamines Lead to Unusual Co(II & IV) Precursors
David Zanders (Ruhr University Bochum, Germany); Matthew Griffiths, Goran Bacic (Carleton University, Canada); Jason Masuda (Saint Mary's University, Canada); Anjana Devi (Ruhr University Bochum, Germany); Seán Barry (Carleton University, Canada)

Cobalt-containing thin films and nanomaterials such as elemental Co or CoSi2 have recently garnered significant interest as potential next-generation interconnects to replace Cu in future microelectronic devices. ALD is favorable for the deposition of these materials owing to low processing temperatures, precise control of thickness and conformal coverage over complex device geometries considering modern, demanding processing criteria. A review of the current Co-containing precursors remain scarce, and mechanistic deposition studies are rare.[1] Throughout the periodic table, silylamines where the Si is in β position to a bound metal center are excellent ligands (e.g. -N(SiMe3)2) to enhance thermal stability and volatility).[2] Yet the thermal budget (e.g. thermal stability, shelf life) is poor in the case of the known Co(II) congener Co[N(SiMe3)2]2 2 and a recent CoO ALD process demonstrated that the monomeric THF adduct shows higher thermal stability and evaporation characteristics but contains an oxygen in the ligand environment.[3] This presentation will discuss new oxygen-free, cyclic silyl-diamido and -amidoamino Co ALD precursors and the deposition of Co-containing films (Figure 1).

Our initial study[4] unearthed an unprecedentedly highly stable and volatile Co(IV) bis-β-silyldiamide (1), which was characterized by TGA and DSC, with a thermal range of 47 °C between the 1 Torr vapor pressure temperature ((150.4 ± 0.1) °C) and the onset of decomposition (197 °C) (Figure 1). Compound 1 has a facile synthesis and showed saturated adsorption by QCM studies. Additionally, we report a promising Co(II) bis-β-silylamidoamine (2), a complex with multi-gram scalable synthesis, and a thermal range of 50 °C , with a low melting point (62 °C) and a significantly lower 1 Torr vapor pressure temperature ((112.2 ± 0.1) °C) than 1 (Figure 2). Both precursors were subjected to ALD process development for Co-containing thin films. Typical ALD growth characteristics in terms of saturation, ALD window and linearity as well as film composition will be discussed using methods ranging from XRR, AFM, RBS/NRA and XPS.

[1] https://www.atomiclimits.com/alddatabase

[2] M. F. Lappert et al.,Metal amide chemistry, Wiley, 2009.

[3] T. Iivonen et al.,Journal of Vacuum Science & Technology A2019, 37, 10908.

[4] D. Zanders et al., Chemrxiv2020, DOI: 10.26434/chemrxiv.11691825.v1

View Supplemental Document (pdf)
3:15 PM AF1-MoA-8 A Low Cost, High Efficiency TMA-Replacement for the Deposition of Pure Aluminum Nitride Films by ALD
Sydney Buttera (Carleton University, Canada); Polla Rouf, Henrik Pedersen (Linköping University, Sweden); Seán Barry (Carleton University, Canada)

Since its inception, the field of ALD has demonstrated its reliance on the importance of main group chemistry. The most fundamental process of the deposition of aluminum oxide from trimethylaluminum (TMA) and water has been extensively studied and used in labs and in industry internationally; it is extremely well understood, and by extension, can now be evaluated for improvement. By rationally designing and synthesizing precursors based on a TMA framework, we aim to develop ALD processes in which we can regulate surface chemistry, limit decomposition pathways, and deposit low-impurity thin films. In this research, we have implemented straightforward reactivity between precursors and surface sites to enable the deposition of high quality AlN films using a novel ALD precursor.

This presentation will describe the synthesis, characterization, and use of a heteroleptic bis(hydride)mono(dimethylamide)aluminum(III) precursor (AlH2(NMe2)2) for the deposition of aluminum nitride films by ALD. This precursor and its predecessors are based on a TMA framework where methyl ligands are replaced using ligands with only Al-N and Al-H bonds in order to reduce carbon impurities in deposited films. This result was preceded by work on other novel ALD precursors, including tris(dimethylamido)aluminum(III), mono(hydride)bis(dimethylamide)aluminum(III), and a series of Al(MeNacNac)x(NMe2)3-x (x = 1, 3) compounds, which will also be discussed.

Bis(hydride)mono(dimethylamide)aluminum(III) has been chosen as the optimal AlN precursor due to its thermal stability, volatility with a 1 Torr temperature of 55 °C, and its presence of only hydrogen and nitrogen bonded to the metal centre. Using this precursor, AlN films have been deposited by ALD using NH3 plasma as the coreagent between 100 and 250 °C. The films produced were uniform and stoichiometric with an Al:N ratio of 1.04. It was also demonstrated that film crystallinity increased with increased number of ALD cycles and increased deposition temperature. Importantly, impurity levels were extremely low with less than 2% oxygen and less than 1% carbon in AlN films. Electrical measurements were also carried out on AlN films.

By using a simple precursor such as AlH2(Nme2)2 instead of TMA for the deposition of aluminum oxides and nitrides, we can improve film quality and reduce material cost by up to 2 orders of magnitude. This precursor is extremely simple to synthesize, has minimal safety concerns, and its replacement of TMA in depositions could lead to a multitude of benefits for both researchers and final films alike.

View Supplemental Document (pdf)
3:30 PM Break & Exhibits
4:15 PM AF1-MoA-12 Polymeric Tin Trifluoroacetate Precursors for Atomic Layer Deposition of Fluorine-Doped Tin(IV) Oxide
Goran Bacic, Eden Goodwin (Carleton University, Canada); Justin R. Gagnon, Robert W. Boyd (University of Ottawa, Canada); Steven P. McGarry, Seán Barry (Carleton University, Canada)

Transparent conducting materials are critical components in many optoelectronic devices, and ALD has been particularly important in their continued development. Fluorine-doped tin(IV) oxide (FTO) has certain advantages over alternatives like tin-doped indium(III) oxide (ITO) or aluminum-doped zinc(II) oxide (AZO), namely resistance to chemical attack, UV photobleaching, high temperature degradation, and mechanical abrasion. FTO is also ubiquitous and cheap, readily available and comprised of only earth-abundant elements. Despite this, ALD of FTO has never been reported. This may be due to the extreme hazards associated with hydrogen fluoride and organotin precursors used in CVD, or the high cost of other known fluorination pathways in CVD and ALD (e.g., fluorinated metals and β-diketonates).

Tin trifluoroacetates (Sn(tfa)x) have been reported as FTO CVD precursors that react with air to make FTO films second only to those made by CVD from tetramethyl tin (Me4Sn) with CF3Br and ozone. We took interest in Sn(tfa)x as environmentally-friendly and safe precursors to deposit FTO with ALD, with the hope to enable the use of nanostructured and flexible substrates.

We have found two tin trifluoroacetates with potential to be ALD precursors: hexatin(II)-di-μ3-oxyoctakis(μ-trifluoroacetate) [Sn6O2(tfa)8, Figure 1a] and tin(IV) tetrakis(μ-trifluoroacetate) ([Sn(tfa)4]n, Figure 1b). Both are polymers that reversibly depolymerize upon heating and volatilize without decomposition into their constituent subunits (Figure 1d-f). They are also complementary: Sn6O2(tfa)8 can be prepared using green chemistry but is less volatile; while Sn(tfa)4 is highly volatile and more reactive but is difficult to prepare. Weighing these options, we determined that Sn6O2(tfa)8 was the precursor of choice due to its excellent properties, low cost, thermal stability, and previously reported success for CVD.

We deposited high quality FTO on oxide surfaces with Sn6O2(tfa)8, air and water over a wide temperature range (250-400 °C). Uniform, dense, and smooth films were formed on Al2O3 and glass with self-limiting behaviour (Figure 1c). They were highly conductive (2.4 mΩ cm), transparent (88%), and stable to ambient conditions. However, we found saturation with air to be too sluggish. Using N2O as an oxidant greatly enhanced the rate of deposition at 400 °C, and this process represents the first use of N2O in ALD of SnO2. Interestingly, no growth occurred using either O2 nor N2O without a water pulse before or during oxidation. This presentation will discuss the deposition chemistry of FTO films, and focus on possible mechanisms using synthetic, deposition, and computational strategies.

View Supplemental Document (pdf)
4:45 PM AF1-MoA-14 Highly Volatile In(III) Triazenide Precursors for Atomic Layer Deposition of Indium Nitride
Nathan O'Brien, Polla Rouf, Rouzbeh Samii, Karl Rönnby (Linköping University, Sweden); Sydney Buttera (Carleton University, Canada); Vadim Kessler (Swedish University of Agricultural Sciences, Sweden); Lars Ojamäe, Henrik Pedersen (Linköping University, Sweden)

Indium nitride (InN) is interesting for high frequency electronics due to its high electron mobility, small effective electron mass and high electron saturation velocity. However, the problematic deposition of InN films by conventional methods, such as CVD, has prevented its full exploration in electronics. This is due to the low temperature tolerated by the InN crystal as it decomposes to In metal and N2 gas at 500 °C. ALD is a promising alternative to CVD for low temperature deposition of InN. We recently used hexacoordinted guanidinates1 and amidinates2,3 (In[iPr–N=C(R)–N–iPr]3; where R = NMe2, Me and H) precursors with NH3 plasma for ALD of InN.4 It was revealed that smaller and less electron donating substituents on the endocyclic carbon of the ligand backbone led to improved precursor surface chemistry. An alternative ligand to amidinates is the triazenide (R–N=N–N–R), which differs by the nitrogen atom in the endocyclic position. Development of this ligand could produce a new family of precursors with enhanced surface chemistry for ALD of InN.

In this presentation, the synthesis, structure and thermal properties for six In(III) triazenide complexes, depicted as In[R1N3R2]3, will be shown and discussed. Reaction of alkyl azide (R1–N3; where R1 = iPr, sBu and tBu) with an alkyllithium (R2–Li; where R2 = iPr, sBu and tBu) formed the lithium intermediate ([R1N3R2]Li), which was reacted with InCl3 to give complexes 1-6 in good yields. The crystal structure of 1 (In[iPrN3iPr]3) showed the In atom in a distorted-octahedral geometry with In–N bond lengths of 2.21(5) Å. Compound 1 sublimed at 80 ºC, whilst the bulkier symmetrical complexes 4 (In[sBuN3sBu]3) and 6 (In[tBuN3tBu]3) sublimed at higher temperatures of 95 and 120 ºC, respectively. Unsymmetrical complexes 2 (In[iPrN3sBu]3), 3 (In[iPrN3tBu]3) and 5 (In[sBuN3tBu]3) showed sublimation temperatures between 100-110 ºC, but decreased in thermal stability. Thermogravimetric analysis of 1 showed single step evaporation between 145-215 ºC. ALD of InN using 1 and NH3 plasma afforded epitaxial InN on 4H-SiC that was stoichiometric with low amount of impurities. Two temperature growth intervals were observed when depositing InN films. In the high temperature interval, 1 underwent a gas phase thermal decomposition to produce a more reactive In(III) compound whilst retaining self-limiting growth behavior. DFT calculations detailing the decomposition pathways will be shown and discussed.

[1] S. T. Barry et al. Dalton Trans. 2011, 40, 9425-9430. [2] A. Devi et al. Dalton Trans. 2017, 46, 10220-10231. [3] R. G. Gordon et al. Chem. Eur. J. 2018, 24, 9525-9529. [4] Rouf et al. J. Phys. Chem. C, 123, 25691-25700.

View Supplemental Document (pdf)
Session Abstract Book
(302KB, Jul 28, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2020 Schedule