ALD2019 Session AS1-TuA: Area-Selective ALD by Area-Deactivation

Tuesday, July 23, 2019 1:30 PM in Grand Ballroom H-K

Tuesday Afternoon

Session Abstract Book
(310KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
1:30 PM AS1-TuA-1 Elucidating Mechanisms of Selective ALD of Al2O3 by a Comparative Study of Precursors
Il-Kwon Oh, Tzu-Ling Liu (Stanford University); Tania Sandoval (Technical University Federico Santa Maria); Ralf Tonner (Philipps-Universität Marburg, Germany); Stacey F. Bent (Stanford University)

Area-selective atomic layer deposition (AS-ALD) may allow a reduction in the number of lithography and etch steps, resulting in lowering of errors in the patterning process as well as a decrease in manufacturing costs. For example, a self-aligned hard mask fabricated by AS-ALD can guide etching of via holes and deposition of metal wires in the metallization process to avoid shorts between metal layers.

Several metal oxide systems, such as Al2O3, TiO2, ZnO, and HfO2, have been explored for AS-ALD processes. For a hard mask, Al2O3 possesses advantages over other metal oxides due to its high hardness as well as chemical inertness for etching selectivity. However, despite extensive studies on ALD Al2O3, there are few studies on AS-ALD of Al2O3. Furthermore, literature suggests that Al2O3 may be comparatively difficult to block; for example, the blocking selectivity of Al2O3 is limited to only ~6 nm whereas ZnO can be blocked for over ~30 nm.1 The difference in blocking highlights the importance of precursor chemistry for AS-ALD, which motivates the current study to elucidate the mechanism of Al2O3 AS-ALD based on a comparative study of Al precursors.

In this work, we study the growth mechanism of Al2O3with four Al precursors; trichloroaluminum (TCA), dimethylaluminum chloride (DMACl), trimethylaluminum (TMA), and triethylaluminum (TEA). They offer a comparative study of precursor ligand properties (reactivity, polarity, and geometric factors) by changing both the number of methyl (Me) and chloride (Cl) group in AlMexCl3-x(x=0, 1, and 3) and the chain length of alkyl ligands in AlCnH2n+1(n=1 and 2). Results of quantum chemical calculations of the reaction pathways show product energetics that are strongly correlated with experimental observations. For example, with increasing number of Cl ligands, the growth rate is found experimentally to increase at low temperature, consistent with a large initial adsorption energy of TCA on Si .Interestingly, although they have similar geometrical factors, the precursors exhibit different ALD growth rates (0.75, 1.0, and 1.2 Å/cycle for for TCA, DMACl, and TMA respectively) corresponding to the calculated trend in activation energies as the Cl number increases. AS-ALD of Al2O3 using octadecyltrichlorosilane SAMs as an inhibitor is also investigated; the blocking properties of the four Al precursors will be compared and the results discussed based on the growth mechanism. By pursuing first principles design of selective ALD processes, this work may enable new methods for additive nanoscale patterning.

(1) Bobb-Semple, D. et. al., Chem. Mater.2019, acs.chemmater.8b04926. https://doi.org/10.1021/acs.chemmater.8b04926.

1:45 PM AS1-TuA-2 Area-Selective Atomic Layer Deposition using Dodecanethiols: Comparison of Monolayer versus Multilayer
Tzu-Ling Liu (Stanford University); Katie Nardi, Nerissa Draeger, Dennis Hausmann (Lam Research Corp.); Stacey F. Bent (Stanford University)

As the size of transistors continues downward scaling, more difficulties are introduced into traditional top-down semiconductor fabrication processes, such as edge placement errors and two-dimensional overlay control. In addition, the number of processing steps and cost are becoming significant. To overcome these challenges, it is necessary to develop new techniques, and selective deposition is one promising solution to reduce patterning errors and process complexity. Atomic layer deposition (ALD) is considered to be well suited for selective deposition because of its self-limiting reactions between precursors and specific functional groups at the substrate surface. By manipulating the surface functional groups with self-assembled monolayers (SAMs), area-selective ALD has been successfully demonstrated on technologically-important metal/dielectric (Cu/SiO2) patterns. In recent years, using alkanethiols as the inhibition layer has received much attention because they can be deposited onto metal surface via a vapor-phase approach that can be readily incorporated into industrial semiconductor fabrication processes.

Despite the apparent simplicity of the alkanethiolate SAM system for AS-ALD, recent studies have shown that either a monolayer or multilayers of the thiols can form on Cu depending on the preparation details, including a dependence on the oxidation state of the Cu surface. However, the effect of having multilayer versus monolayer thiols on the blocking ability in AS-ALD of metal oxides has not been reported.

In this work, we compare the ALD blocking ability of multilayer and monolayer dodecanethiols (DDT) deposited from the vapor phase. The results show that monolayer DDT films are better than multilayer DDT films at inhibiting Al2O3 ALD. In contrast, the multilayer DDT films show better blocking performance against ZnO ALD. The influence of monolayer and multilayer DDT on area selective deposition of ALD films onto Cu/SiO2 patterns is also studied. On the multilayer DDT-coated Cu/SiO2 patterns, we show that there is a nucleation delay for ZnO ALD on SiO2 near its interface with Cu, resulting in a thinner ZnO film at the edge of the SiO2 region, an effect which is pitch dependent. The possible mechanism underlying this phenomenon will be discussed.

2:00 PM AS1-TuA-3 Mechanism for Breakdown in Selectivity During Area-Selective Atomic Layer Deposition of ZrO2 on a SiO2 Surface Functionalized with a Blocking Layer
Wanxing Xu (Colorado School of Mines); Paul C. Lemaire, Kashish Sharma, Dennis Hausmann (Lam Research Corp.); Sumit Agarwal (Colorado School of Mines)

The conventional lithography is becoming increasingly challenging due to continued downscaling of modern semiconductor devices. Area-selective deposition of dielectrics and metals can simplify patterning for next-generation devices. Atomic layer deposition (ALD) has emerged as a very promising technique for achieving selective deposition because film growth during ALD is highly sensitive to the surface functionalization of the underlying substrate. In this study, we focus on the area-selective ALD of ZrO2 on metals while inhibiting growth on SiO2 by terminating the surface with fluorocarbon or hydrocarbon ligands.

The starting SiO2 surface was functionalized with the inhibitor molecules: nonafluorohexyldimethyl(dimethylamino)silane (NHDDAS) , n-octyldimethyl(dimethylamino)silane (ODDAS), or n-octadecyltrichlorosilane (ODTS). The aminosilanes were attached to –OH-terminated SiO2 either through the vapor phase or in solution, while ODTS was attached to surface through the solution phase. The functionalized SiO2 surfaces were characterized by Fourier transform infrared (FTIR) spectroscopy, ellipsometry, and water contact angle measurements. We show that aminosilane and chlorosilane precursors react with almost all of the surface –SiOH groups forming ºSi–O–Si–R bonds on the surface (see Figure 1). This surface functionalization is stable over the temperature range of 200–300 °C.

ZrO2 was grown by ALD on the passivated SiO2 surfaces using either tetrakis(ethylmethylamino)zirconium(IV) (TEMAZ) [Zr(NCH3C2H5)4] or zirconium(IV) tert-butoxide (ZTB) [Zr(OC(CH3)3)4], and H2O over a temperature of 200–250 °C. While no ZrO2 growth was detected during the initial few cycles, in situ four‑wavelength ellipsometry showed that growth inhibition breaks down after an increased number of cycles. We recorded the corresponding surface reactions during ZrO2 ALD using in situ attenuated total reflection FTIR spectroscopy, which allows us to identify the surface reaction sites and adsorbed surface species. Surprisingly, after repeated exposure of the functionalized SiO2 surface to TEMAZ and ZTB, these precursors reacted with Si–O–Si bonds in the absence of surface –SiOH groups (see Figure 2). This suggests that while ALD of ZrO2 may proceed through reaction with surface –OH groups, other reactions with a higher activation energy barrier become important as these surface reactive sites are removed through surface functionalization. These results highlight the importance of steric blocking of the substrate surface as an additional requirement for growth inhibition during ALD. View Supplemental Document (pdf)
2:15 PM AS1-TuA-4 Area Selective Chemical Vapor Deposition of Co from the Co (CO) Precursor: Use of Ammonia to Afford Dielectric-Dielectric Selectivity
Zhejun Zhang, Sumeng Liu, Gregory Girolami, John Abelson (University of Illinois at Urbana-Champaign)

We previously reported the area selective chemical vapor deposition of MoCxNy thin films on metal substrates using Mo(CO)6 precursor with a coflow of NH3 inhibitor: at temperatures of 150-210 °C, film grows readily on metal or metal nitride surfaces but nucleation and growth are suppressed on all oxide surfaces. This was attributed to the site-blocking of hydroxyl groups or a reduction in the acidity of the surface by NH3.

Here, we demonstrate chemical vapor deposition of cobalt thin films that is area-selective between oxide surfaces using Co2(CO)8 precursor with a coflow of NH3 inhibitor at 70 °C: growth is suppressed on acidic oxides such as SiO2 and WO3 during experiments lasting up to 60 minutes, but proceeds unimpeded at rate of 0.6 nm/min on basic oxides such as Al2O3, HfO2 and MgO. Selectivity of > 99% between SiO2 and Al2O3 can be obtained. In the absence of ammonia, nucleation occurs readily on all oxide substrates but the area density of nuclei, and consequently the film smoothness, are better on Al2O3 than on SiO2. Cobalt films grown with and without ammonia have a resistivity ranging from 15–25 μΩ·cm and 11–20 μΩ·cm, respectively. Surprisingly, in the presence of a very small partial pressure of NH3, growth can proceed on SiO2 and the area density of nuclei is increased. To account for these observations, we propose that ammonia reduces the surface diffusion of adsorbed precursor. Under this hypothesis, for small NH3 pressure, adsorbates may react to form new nuclei instead of being transported to, and incorporated into, existing nuclei; but at high NH3 pressure, adsorbate-adsorbate interaction may be suppressed, such that the rate of desorption outpaces that of nucleation.

2:30 PM AS1-TuA-5 Area-Selective ALD of Silicon Oxide using Inhibitors in Four-step Cycles for Metal/Dielectric Selectivity
Marc Merkx, Rick Jongen (Eindhoven University of Technology, Netherlands); Alfredo Mameli (TNO/Holst Center, Netherlands); Dennis Hausmann (Lam Research Corp.); Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, Netherlands)

The fabrication of nanoelectronics at sub-5 nm dimensions using conventional top-down fabrication schemes is becoming more and more difficult due to the increasingly straining requirements in alignment. Area-selective ALD allows for deposition of material in a self-aligned fashion and thereby enables more reliable device fabrication. In our previous work, area-selective ALD of ~1 nm SiO2 was demonstrated using three-step (ABC) ALD cycles consisting of acetylacetone (Hacac) inhibitor (A), H2Si[N(C2H5)2]2 precursor (B), and an O2 plasma co-reactant (C) doses.[1] In this contribution, the reaction mechanisms involved in cyclewise removal and reapplication of inhibitor molecules will be described. Based on the acquired insights, new opportunities and challenges for this approach will be discussed.

The reapplication of the inhibitor allows for the use of ozone or plasmas as the co-reactant, which is one of the merits of the approach. However, using in situ infrared spectroscopy it was found that the Hacac molecules are not completely removed during the O2 plasma exposure. Remaining Hacac fragments hinder the Hacac adsorption in the subsequent ALD cycle, and thereby decrease the selectivity. The challenge of reapplying the inhibitor molecules every cycle is therefore to ensure that the non-growth area is returned to its original state before the next inhibitor dose. In order to completely remove all Hacac species, a four-step (ABDC) ALD cycle was developed which employs a H2 plasma step prior to the O2 plasma exposure. The added H2 plasma, significantly improves the selectivity, such that ~2.5 nm SiO2 can be deposited selectively in the presence of an Al2O3 non-growth area.

In general it is challenging to use an O2 plasma on structures with metal areas, due to potential oxidation of the metal during the plasma exposure which reduces the conductivity. However, the H2 plasma in the ABDC cycle was found to largely remove the precursor ligands, and therefore only a very mild O2 plasma exposure is required for SiO2 deposition. In this way, area-selective ALD was achieved relative to Co as the non-growth area without significant damage to the Co substrate. The application possibilities of this ABDC-type ALD process for reliable interconnect fabrication (i.e. self-aligned VIA) will be discussed.

[1] A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, A.J.M. Mackus, ACS Nano 11, 9303-9311 (2017)

View Supplemental Document (pdf)
2:45 PM AS1-TuA-6 Selective Area Growth of Deactivating Polymers
Rudy Wojtecki (IBM Research - Almaden); Thomas Pattison (University of Melbourne, Australia); Alexander Hess, Noel Arellano, Alexander Friz (IBM Research - Almaden)

As the semiconductor community continues scaling, area selective atomic layer deposition (ASD) offers the potential to relax down-stream processing steps by enabling self-aligned strategies (e.g. self-aligned via). ASD can be achieved under a variety of conditions and, with the use of organic inhibiting materials, exhibit high levels of selectivity during depositions. However, the organic materials exploited are generally relegated to small molecules and may require multiple cycles of etch-back processes followed by renewal of the surface protection coating. Recent work has shown that a variety of polymeric materials offer the potential to broaden the number and film compositions that can be selectively deposited. However, an attractive ASD approach requires the directed grafting of polymers to a surface using selective adhesion groups, for instance. Inhibiting polymers could be functionalized with metal binding ligands and the resulting polymer brushes retain their inhibiting properties on blanket films. On patterned surfaces though, brushes exhibit poor selectivity, adhering to both metal and dielectric surfaces and resulting in high defectivity after a subsequent atomic layer deposited (ALD) film (polymer particles were observed on both a metal and dielectric). An alternative approach is a grafting from strategy where a low molecular weight monomer, containing a diphosphonic acid binding group, enables area selective grafting on a prepatterned surface containing copper lines and silicon spaces. Subsequent treatment of these surfaces with a catalyst and introduction of a norbornene monomer in the vapor phase allows the area selective growth of polynorbornene (PNB) producing films with thicknesses between 10-100nm. The surface grown PNB films shows excellent inhibitory properties against the ALD deposition of metal oxides, TiO2 and ZnO, where only trace metal concentrations are observed on the polymer surfaces even after a long number of ALD cycles. This area selective surface grown polymer strategy offers the potential to address several challenges in ASD such as: (i) lateral overgrowth of an ALD film once film thickness exceeds that of the inhibiting material. A polymer strategy offers the potential to control the polymer film thickness and thus the desired ALD film thickness (ii) On patterned surfaces line edges and corners are sites for significant defectivity in ASD. The surface growth of a polymer readily covers these corners and edges to ensure these features are protected during the ALD process.

View Supplemental Document (pdf)
3:00 PM AS1-TuA-7 Area-Selective ALD of ZnO Films Patterned by Electrohydrodynamic Jet Printing of Polymers with Sub-Micron Resolution
Tae Cho, Nazanin Farjam, Christopher Pannier, Carli Huber, Orlando Trejo, Christopher Allemang, Eric Kazyak, Rebecca Peterson, Kira Barton, Neil P. Dasgupta (University of Michigan)

An increasing demand for customization in manufacturing of integrated nanosystems has motivated the development of printable electronics that can be adapted to the unique requirements of an end-user. The current state-of-the-art in nanofabrication of functional devices involves multiple lithographic patterning steps, combined with thin-film deposition and top-down etching processes. While this has led to tremendous advances in spatial resolution and process reliability, lithographic processes are inherently parallel processes, and not easily customizable. In contrast, additive manufacturing processes enable rapid prototyping of 3-D structures that can be easily tuned, and multiple layers of dissimilar materials can be integrated in a bottom-up manner. Therefore, combining a 3-D printing technology with area-selective ALD could enable a new paradigm in customizable nanomanufacturing.

Area-selective ALD has been previously demonstrated with patterns printed by ink-jet printing, micro-contact printing, directed self-assembly of templates, and a variety of other methods. However, there have been few techniques with the ability to directly 3-D print customizable patterns with sub-micron resolution, as traditional ink-jet printing is limited to a spatial resolution of ~20 microns. In this study, we demonstrate the use of electrohydrodynamic jet (e-jet) printing of polymers with sub-micron resolution [1], which can act as either inhibitors or promotors of ALD growth. The e-jet system allows for 3-D printing of polymers that pattern the surface chemistry by thermodynamically / kinetically activating or passivating local regions to nucleation of inorganic layers by ALD [2]. This enables bottom-up patterning of ALD growth, which can be integrated into 3-D nanosystems without the need for lithography or etching.

A variety of polymers were tested, demonstrating the ability to inhibit or promote the growth of ALD ZnO coatings on the surface by additive and subtractive printing approaches. Auger electron spectroscopy (AES), atomic force microscopy (AFM), transmission electron microscopy (TEM), and x-ray photoelectron spectroscopy (XPS) were used to perform compositional and elemental analysis of the patterned materials. After thin-film deposition by ALD, the electronic properties of the patterned films were characterized, demonstrating a pathway towards additive 3-D nanomanufacturing of customizable electronic devices.

1) M. S. Onses, E. Sutanto, P. M. Ferreira, A. G Alleyne, J. A. Rogers, Small 2015, 11, 4237–4266.

2) S. F. Nelson, C. R. Ellinger, D. H. Levy, ACS Appl. Mater. Interfaces 2015, 7, 2754–2759.

3:15 PM AS1-TuA-8 Selective Deposition of Silicon Nitride
Han Wang, Bryan Hendrix, Thomas Baum (Entegris Inc.)

Atomic layer deposition of SiN using SiI4 and NH3 was studied. The growth per cycle and initial nucleation of SiN films were analyzed as a function of the deposition temperatures and precursor pulse times on different substrates. The sequential reaction of SiI4 and NH3 showed longer nucleation delay on silicon oxide surfaces (native and thermal SiO2) when compared to metal oxide (ZrO2 and Al2O3) surfaces. This nucleation delay decreased with the increased deposition temperature. By pretreating metal and silicon oxide surfaces with an NH3 plasma, SiI4 and NH3 process showed no nucleation delay on the pretreated silicon oxide surfaces, while a significant delay was observed on the pretreated metal oxide surfaces. By using the definition of selectivity developed by Gladfelter [Chem. Mater. 5, 1372 (1993)], we have achieved tS=0.90 = 18 Å for the process without NH3 plasma pretreatment and tS=0.94 = 74 Å for the process with NH3 plasma pretreatment. This selectivity was not observed for pretreatment using H2, N2, or N2-H2 mixtures (50% N2) plasma. Ex-situ XPS was used to determine the surface species with and without plasma pretreatments.

Session Abstract Book
(310KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2019 Schedule