ALD2019 Session AM1-WeM: Spatial ALD, Fast ALD, and Large-Area ALD

Wednesday, July 24, 2019 8:00 AM in Grand Ballroom E-G

Wednesday Morning

Session Abstract Book
(304KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
8:00 AM AM1-WeM-1 Impact of Operating Parameters on Precursor Separation in “Air Hockey” Spatial Atomic Layer Deposition Reactor
John Grasso, Brian Willis (University of Connecticut)

A defining characteristic of atomic layer deposition (ALD) is the sequential exposure of a surface to self-limiting, saturating reactions. Temporal ALD operates through intermittent purge cycles, while spatial ALD relies on physical separation accomplished by delivering reactants through a deposition head located in close proximity to the substrate’s surface. An inert gas stream placed between precursors acts as a diffusional barrier to prevent mixing. A dysfunctional barrier results in gas phase reaction and non-ALD growth. To understand the impact system parameters have on the efficiency of the gas barrier, this work presents a COMSOL Multiphysics study of the fluid dynamics and concentration diffusion for the system.

We present a case study for ALD of alumina by trimethylaluminum (TMA) and water using a novel spatial ALD system analogous to an air hockey table. In contrast to other spatial ALD reactors that are limited by mechanical constraints, dispersed nitrogen inlets float a substrate overtop an injector region to deposit films within a deposition gap of less than 100 μm. The flotation height, or deposition gap, is a function of the fluid pressure underneath the substrate. An accurate height estimation from the parameters is necessary to evaluate the efficiency of the gas barrier. In-situ ­height measurements are used to validate the COMSOL model, and the results are in good agreement for different operating conditions.

This work investigates how diffusivity, deposition gap, inert flow rate, and geometric design influence the effectiveness of precursor separation by evaluating the concentration of the precursors at the substrate surface. Small deposition gaps prevent precursor intermixing, however the diffusional barrier is not effective when the inert flow rate is low. Specifically, high diffusivity enables the precursors to readily diffuse beyond their ideal zone, cause gas phase reactions, and lead to CVD growth. Additionally, the uniform surface exposure of reactant is altered, leading to non-uniform growth at the edges of the deposition area. Successful precursor separation can be achieved at large flotation heights when the inert flow is large; however, the precursor concentration at the surface becomes low. These conditions may lead to insufficient saturation of the surface and non-ideal ALD growth. Stationary deposition experiments are utilized to demonstrate the ability of the model to predict non-ALD behavior. Additionally, the geometric design of the reactor plays a critical role in preventing precursor intermixing.

8:15 AM AM1-WeM-2 Plasma Enhanced Spatial ALD of Silver Thin Films at Atmospheric Pressure
Tim Hasselmann (University of Wuppertal, Germany); Nils Boysen (Ruhr University Bochum, Germany); Detlef Theirich (University of Wuppertal, Germany); Anjana Devi (Ruhr University Bochum, Germany); Thomas Riedl (University of Wuppertal, Germany)

A wide range of opto-electronic devices, such as solar cells and light emitting diodes, require electrodes that are highly conductive and at the same time transparent. Ultra thin (thickness < 10 nm) silver (Ag) films can provide these properties.[1,2] Plasma enhanced atomic layer deposition (PE-ALD) would be a suitable coating technique that allows for homogenous film growth on large areas at low temperatures with precise thickness control. Since ALD is originally a vacuum based technique, limitations towards high throughput processing and low-cost manufacturing occur. These drawbacks can be overcome by spatial PE-ALD at atmospheric pressure.[3] In our earlier work, we have shown outstanding (conductive) gas diffusion barriers and more recently, the growth of Ag films from a novel halogen-free precursor, by spatial PE-ALD at atmospheric pressure.[4-6] In this work, we provide detailed growth studies of Ag thin films grown from this novel 1,3-di-tert-butyl-imidazolin-2-ylidene silver(I) 1,1,1- trimethyl-N-(trimethylsilyl) silanaminide [(NHC)Ag(hmds)] precursor by spatial PE-ALD. An atmospheric pressure dielectric barrier discharge with Ar/H2 as working gas is used. Saturating behavior with growth rates of about 2.4x1014 atoms/(cm2 cycle) (corresponding to an equivalent of 0.42 Å/cycle), determined by RBS, at a very low deposition temperature of 100°C is shown, with only small amounts of residual carbon (~1.5 at.%) and Si (~0.8 at.%) in the films. Percolated and conductive Ag films with a low sheet resistance of 0.9 Ω/sq (resistivity: 10-5Ωcm) are demonstrated. Furthermore, the influence of the deposition temperature in a range from 80°C to 120°C on the growth characteristics is discussed. All results are compared to those obtained from the more established precursor [Ag(fod)(Pet3)] (FOD).[7] The prospects to use these ALD grown Ag layers to create highly conductive electrodes for perovskite solar cells are discussed.

[1] K. Zilberberg et al., J. Mater. Chem. A 4, 14481–14508 (2016)

[2] Y. J. Yun et al., Adv. Funct. Mater. 27, 1701513 (2017)

[3] P. Poodt et al., J. Vac. Sci. & Technol. A 30, 01A142 (2012)

[4] L. Hoffmann et al., ACS Applied Mater. & Interfaces 9, 4171 (2017)

[5] L. Hoffmann et al., J. Vac. Sci. & Technol. A 36, 1, 01A112 (2018)

[6] N. Boysen et al., Angew. Chem. Int. Ed. 57(49) 16224-16227 (2018)

[7] M. Kariniemi et al., Chem. Mater., 23(11), 2901–2907. (2011)

View Supplemental Document (pdf)
8:30 AM AM1-WeM-3 Low Temperature Spatial PEALD of Silicon Nitride Films from Aminosilane Precursors and DC Direct Plasma
Eric Dickey (Lotus Applied Technology)

PEALD of silicon nitride using aminosilane precursors in combination with N2, N2:H2, or NH3 plasma has been widely studied in recent years. Most of this research has been conducted in conventional pulse-purge reactors, employing RF plasma from either indirect Inductively Coupled Plasma (ICP) or direct Capacitively Coupled Plasma (CCP). While the use of RF plasma is a necessity for pulse-purge ALD reactors, Spatial ALD provides an opportunity to use a simple DC direct plasma. This is due to the fact that most interior surfaces of the reaction chamber, including the plasma electrode, are not coated with the dielectric film, as growth occurs only on the surfaces that are exposed to both the plasma and the precursor. In this work, a spatial ALD system incorporating a rotary disc substrate holder and DC diode plasma was used to deposit silicon nitride at temperatures between 300 and 350°C, from bis(diethylamino)silane (BDEAS), bis(tertbutylamino)silane (BTBAS), diisopropylaminosilane, (DIPAS), and “New SAM” supplied by Air Liquide. N2 and N2:H2(4%) were used as the plasma gas, with an operating pressure between 0.5 and 1.2 Torr. Refractive index values as high as 2.14 at 633nm were attained, and wet etch rates in dilute hydrofluoric acid (1%) as low as 1 nm per minute were measured. RBS compositional analysis for stoichiometry and contamination was performed on a subset of samples, and showed significant variation depending on the precursor and plasma gas used.

9:00 AM AM1-WeM-5 Development and Characterization of an Atmospheric Pressure Plasma Reactor Compatible with Open-Air Spatial ALD
Hervé Rabat, Fadi Zoubian, Olivier Aubry, Nicolas Dumuis, Sebastien Dozias (GREMI Université d'Orléans/CNRS, France); César Masse de la Huerta, Adberrahime Sekkat, Viet Huong Nguyen (LMGP Grenoble INP/CNRS, France); Marceline Bonvalot, Christophe Vallée (LTM-UGA, France); Dunpin Hong (GREMI Université d'Orléans/CNRS, France); David Muñoz-Rojas (LMGP Grenoble INP/CNRS, France)

Dielectric Barrier Discharges (DBD) are widely used for atmospheric pressure plasma generation. The possibility of their adaptation in custom-made configurations makes them potential candidate to assist deposition processes. In fact, the increased need of high-quality thin films forces to improve the deposition techniques. New processes should be able to work in less constrained conditions such as atmospheric pressure rather than vacuum and to have faster deposition rates while respecting the same high quality of the deposited films. In this paper we present the development of a surface dielectric barrier discharge plasma reactor to assist an atmospheric spatial atomic layer deposition process. The plasma was generated by a surface dielectric barrier discharge powered by a microsecond pulsed high voltage power supply. The dissipated power was measured for different configurations, and thanks to the micro discharges imaging, it was observed that the thickness and the shape of the dielectric barrier influenced the micro discharges distribution on the dielectric surface. The plasma reactor exhaust gas was chemically analyzed by FTIR spectroscopy and micro gas chromatography. The ozone concentration was determined as function of frequency of the power supply. Initial results of utilization of the new compact atmospheric plasma head to deposit functional materials by open-air high-throughput plasma-activated SALD will be provided.

9:15 AM AM1-WeM-6 Fast Plasma ALD Employing de Laval Nozzles for High Velocity Precursor Injection
Abhishekkumar Thakur, Jonas Sundqvist (Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany); Stephan Wege (Plasway Technologies GmbH, Germany)

ALD based self-aligned multiple patterning (SAxP) has been the key process to continued chip scaling. SAxP demands PEALD for low temperature and conformal deposition of spacers on photoresist features for the subsequent etch based pitch splitting. ALD is limited by low thru put that can be improved by raising the growth per cycle (GPC), using new ALD precursor, preforming batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or omitting purge steps to attain the shortest possible ALD cycle. Today’s latest and highly productive platforms facilitate very fast wafer transport in and out of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces, or spatial ALD chambers.

In our research developing fast PE ALD processes, we use top down gas flow via showerhead to ignite a 60 MHz plasma (CCP) in a 300 mm chamber. The chamber has been modified to attain ultra-short (≤10 ms) ALD precursor pulses along with good uniformity using a ring injector (WO2017194059A1) with integrated de Laval nozzles enabling high speed, all-round precursor injection across the wafer. We used the well-known TMA-O2 PEALD process to deposit Al2O3 for the hardware development and the productivity benchmarking.

Initially we used a single capillary injector for PEALD of Al2O3 at room temperature (30 °C), wherein we shrunk the TMA pulse length from 2000 ms down to 15 ms maintaining the constant 1.7 Å GPC (Fig. 1), which confirmed the self-limiting nature of the TMA half-reaction. With the de Laval ring injector the saturation started at 10 ms of TMA pulse length (Fig. 2), which is the tested switching limit of the electro-pneumatic ALD valve. The process linearity (Fig. 3) and the saturation curve indicated the ALD nature of the process. For 50 ms of TMA pulse, a wide ALD temperature window (30-120 °C) with constant 1.3 Å GPC was extracted (Fig. 4). Even with very short pulses we achieved a very good uniformity from wafer center to the edge. XPS analysis of the deposited Al2O3 indicated that the film deposited at 120 °C were more oxidized than the films at 30 °C with the single injector. However, the elemental composition for films deposited with TMA pulse of 10 ms vs. 50 ms was indistinguishable. A surface carbon contamination (Table 1) was observed due to the wafer exposure to the outer atmosphere post processing. However, angular XPS depth profiling revealed no detectable amounts of carbon in the “bulk of the film”. The complete ALD process optimization results including plasma pulse optimization, conformality and 300 mm wafer scale uniformity will be presented at the conference.

View Supplemental Document (pdf)
9:30 AM AM1-WeM-7 Development of a Meter Scale ALD Optical Coating Tool for Astronomical Mirror (and other) Applications
David Fryauf (University of California Santa Cruz); Andrew Phillips (University of California Observatories); Aaron Feldman (Structured Material Industries, Inc.); Nobuhiko Kobayashi (University of California Santa Cruz); Gary Tompa (Structured Material Industries, Inc.)

Atomic Layer Deposition (ALD) is best known for depositing electronic device films, but it also offers great promise for producing transparent barrier films on optics - such as large concave metal-coated astronomical observatory telescopic mirrors. To date, ALD coatings on mirrors has been limited to relatively small-sized optics and certainly not ones with their mounting hardware attached. We have designed, constructed, and tested a new ALD tool to apply uniform ALD coatings on planar and curved substrates up to 1m in diameter. The new tool has been named the Meter Scale ALD (MS-ALD) tool. The MS-ALD tool employs a unique chamber design that isolates a large substrate surface to be coated by utilizing the substrate itself as an internal wall of the process chamber. This configuration allows the backside of the optic to be isolated from the front side process environment allowing robust transparent uniform protective dielectric coatings to be grown on telescope mirrors with their backside support hardware in place. Conceptual design, modeling, implementation, results, scalability, and future direction of this new tool are discussed for coating large astronomical telescope optics, specifically protective coatings for aluminum and silver surfaced mirrors as well as other future large structures and, ultimately, semiconductor wafers. To demonstrate the potential of this new design, aluminum oxide has been deposited by thermal ALD using trimethylaluminum and water at a reaction temperature of 60°C. Growth rates, dependence on precursor pulse times, and chamber purge times, show that the two half-reactions occur in a saturated regime, matching characteristics of ideal ALD behavior. The aluminum oxide deposition process parameters of the MS- ALD are compared with those of a conventional 100 mm wafer-scale ALD tool. Saturated ALD growth was realized with a simple scaling factor applied to precursor pulse and purge times. Growth was demonstrated using more than fifty 100 mm diameter wafers mounted on a glass substrate to represent a meter scale mirror. The results show promising application of transparent robust dielectric films as uniform barriers across large, and at times complex, optical components at the meter scale are now possible.

9:45 AM AM1-WeM-8 From Wet-lab to Cleanroom: An Integrated ALD-CVD Process for the Large-area Deposition of Ultrathin Zeolitic Imidazolate Framework Films
Ivo Stassen, Alexander John Cruz, Rob Ameloot (KU Leuven, Belgium)

Robust and scalable thin film deposition methods are key to realize the potential of the combined nanoporosity and hybrid organic-inorganic chemical modularity of metal-organic frameworks (MOFs) in electronic devices [1]. Here, we report the first fully integrated and highly-controllable vapor deposition process for MOFs (MOF-CVD) [2], as recently implemented in a 200 mm modified commercial ALD reactor. The process consists of two-steps: (1) atomic layer deposition for the metal oxide precursor, and (2) subsequent stop-flow reaction with the sublimated organic linker at elevated pressure and non-isothermal temperature conditions. As our selected test case, the optimized MOF-CVD process for ZIF-8 (zinc-2-methylimidazolate) showcases smooth, pinhole-free and large-area uniform ultrathin films that are highly nanoporous. Our process distinguishes itself from previous works as it permits single-chamber deposition, under mild conditions and without the need for a separate post-deposition crystallization steps; to the best of our knowledge, it is the only MOF thin film deposited via an integrated ALD-CVD method on large area substrates to date. Through its implementation in a single-chamber, the MOF-CVD reaction mechanism was studied using a combination of time-resolved in situ ellipsometry and QCM monitoring, and ex situ thin film characterization techniques. We will present the impact of relevant deposition parameters in the form of a MOF-CVD deposition-rate process chart. Our method shows great promise to ease the manufacturing of devices based on MOF thin films, as will demonstrated by a sneak preview of ongoing application projects.

[1] Stassen, I., Ameloot R., et al. An updated roadmap for the integration of metal–organic frameworks with electronic devices and chemical sensors. Chem Soc Rev 46, 3185–3241 (2017).

[2] Stassen, I., Ameloot R., et al. Chemical vapour deposition of zeolitic imidazolate framework thin films. Nat. Mater. 15, 304–310 (2016).

View Supplemental Document (pdf)
Session Abstract Book
(304KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD2019 Schedule