ALD2019 Session ALE1-TuA: Modeling & Instrumentation I

Tuesday, July 23, 2019 1:30 PM in Regency Ballroom A-C

Tuesday Afternoon

Session Abstract Book
(286KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
1:30 PM ALE1-TuA-1 Atomic Layer Etching of Nanostructures
Sabbir Khan (Niels Bohr Institute, University of Copenhagen, Denmark); Dmitry Suyatin (Lund University, Sweden); Jonas Sundqvist (Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany)

Progress in modern electronics demands atomic precision-controlled fabrication of devices and atomic layer etching (ALE) provides etch processes which can assist device trimming with atomic precision. ALE is now becoming a key technique for nanofabrication and the semiconductor industry. In this talk we will demonstrate ALE of thin film Ga-polar GaN (0001) where surface modification is done by Cl2 adsorption and later modified chlorinated layer is removed by low energy Ar ions in plasma environment using standard reactive ion etching (RIE) system (Oxford Plasmalab 100) [1]. Further, using a similar system, Cl2 and Ar based highly anisotropic ALE on crystalline Si (100) with good etch selectivity for SiO2 masks will be discussed. This high material selectivity enables nano-patterning of different geometries on Si wafer and post-ALE patterns inspection allows us to understand different effects (such as, trenching and sidewall tapering etc.) and limitations of the process. We also demonstrate that the ALE processed patterned substrates can be used as molds for high resolution nanoimprinting of features size down to 30 nm [2]. Finally, ALE on semiconductor nanowires will be shown, indicating that the process can be used for damage free processing of semiconductor nanowire devices and precise nanofabrication below 20 nm [3].

Reference:

1. Christoffer Kauppinen, Sabbir Ahmed Khan, Jonas Sundqvist, Dmitry B. Suyatin, Sami Suihkonen, Esko I. Kauppinen and Markku Sopanen. Atomic Layer Etching of Gallium Nitride (0001). Journal of Vacuum Science & Technology A, 35, 6, 060603 (2017).

2. Sabbir Khan, Dmitry B. Suyatin, Jonas Sundqvist, Mariusz Graczyk, Marcel Junige, Christoffer Kauppinen, Anders Kvennefors, Maria Huffman, Ivan Maximov. High-Definition Nanoimprint Stamp Fabrication by Atomic Layer Etching. ACS Applied Nano Materials, 1, 6, 2476–2482 (2018).

3. Sabbir Khan, Dmitry B. Suyatin, Jonas Sundqvist, A Method for Selective Etching of Nanostructures. WO2017157902A1, Patent Application (2017).

2:00 PM ALE1-TuA-3 Selectivity during Plasma ALE of Si-Compounds: Reaction Mechanism Studied by in-situ Surface Spectroscopy
René Vervuurt (ASM); Kazuya Nakane, Takayoshi Tsutsumi, Masaru Hori, Nobuyoshi Kobayashi (Nagoya University, Japan)

Plasma atomic layer etching (ALE) processes based on plasma modification and modification layer removal by fluorine radicals have recently been reported, for the etching of SiN and SiC [1-3]. The proposed processes offer advantages in terms of etch process control, uniformity and etch selectivity versus SiO2 compared to more conventional etching techniques.

In this contribution the selective ALE of Si-compound films is investigated and the reaction mechanism behind the etch selectivity between compounds is discussed. H2, He and N2 plasma modification and the subsequent etching of the modified layer by F-radicals are studied by in-situ Fourier Transform Infrared Spectroscopy (FTIR) and spectroscopic ellipsometry (SE) for several Si-compounds (SiN, SiC, SiCOH, and SiO2).

in-situ SE measurements show that the selectivity of the ALE process can be controlled by the modification plasma; H2 plasma can be used for the selective etching of SiN, SiC and SiCOH versus SiO2, whereas a N2 plasma makes the selective etch of SiC and SiCOH versus SiN and SiO2 possible. He plasma modification on the other hand did not result in etching by F-radicals.

The origin of the selectivity and underlying reaction mechanism is discussed on the basis of in-situ FTIR measurements. These show that H2 plasma modification of SiN, creates a H-rich modification layer containing Si-H and N-H groups. Both Si-H and N-H group formation saturate with exposure time. The created Si-H and N-H groups are removed by the subsequent F-radical exposure resulting in the net removal of SiN. The reaction mechanism for H2 plasma ALE of SiC and SiCOH is found to be similar; a H-rich layer is formed on top of the sample which can be etched using F-radicals, while SiO2 is not modified. This makes it possible to selectively etch SiN, SiC and SiCOH versus SiO2.

N2 plasma can be used to selectively modify SiC versus SiO2 and SiN by creating a Si-H and C=N rich layer. The Si-H groups are created by the restructuring of the hydrogen already present in the SiC film in the form of C-H bonds. The modified layer can be removed using F-radicals allowing for the selective etch of SiC.

He plasma exposure of SiN, SiC and SiCOH creates a Si-O rich modification layer. This layer cannot be removed by F-radicals and therefore does not result in etching.

The obtained results indicate that the formation of a Si-H rich layer is essential for the plasma ALE of SiN, SiC and SiCOH. By tuning the plasma conditions the formation of this layer can be controlled allowing for the selective ALE between SiN, SiC, SiCOH and SiO2.

[1] Sherpa et al. JVSTA 35,1, 2016

[2] Kumakura et al. DPS 2018, Nagoya

[3] Vervuurt et al. DPS 2018, Nagoya

View Supplemental Document (pdf)
2:15 PM ALE1-TuA-4 Chamber Vacuum Strategies to Enable High Productivity ALE
Declan Scanlan, Dawn Stephenson, Adam Stover (Edwards Vacuum, Ireland)

As device lateral dimensions shrink with each successive technology node, the semiconductor industry is now poised to transition from the nanoscale era to the atomic scale era, and must now turn to atomic scale processing techniques.

Analogous to atomic layer deposition (ALD), Atomic Layer Etch is a technique for removing thin layers of material using sequential reaction steps that are either fully or quasi self-limiting. While this technique has shown extremely promising on-wafer results for a variety of etch applications, the transition into high volume manufacturing has been relatively restricted, since the productivity penalty, particularly wafer throughput, is still a major limiting factor. These sequential reaction steps typically require a full and complete exchange of the reactive gas chemistry within the chamber, and therefore a very high-flow, sequentially intermittent, gas purge step is often deployed. To improve the efficiency of this total gas exchange, equipment manufacturers have developed novel fast gas delivery hardware capability, but the complementary rapid gas evacuation capability remains largely overlooked. Chamber pumping strategies that can improve the efficiency of this rapid switching between very different flow volume regimes will be presented.

Apart from this extended pumping time requirement, these high-flow steps present other productivity challenges to the vacuum system. Historically, the chamber vacuum system was relied upon to deliver a low pressure using turbomolecular pumps (TMPs). These pumps operate spinning rotors at very high speeds to propel gases down and out of the pump. In general, the higher the gas flow rate, the more heat that is imparted to the TMP rotor and the higher the rotor temperature. However, a hot rotor poses two challenges: creep and corrosion, the rates of both are increased at higher temperatures. Rotor creep is deformation due to sustained stress and temperature and increases exponentially with temperature (See Figure 1). Furthermore, condensable etch by-products need to be managed by controlling the internal pump temperature profile to ensure that surfaces in the gas path are hot enough to keep the by-product in the vapour phase. In addition, the adoption of specific surface passivation and protection techniques, utilising precursor deposition chemistry, (e.g. in-situ ALD / ALE) intensifies this challenge of by-product management within the vacuum system.

This paper will not only discuss how internal pump technology can help address these challenges, but also how different chamber pumping strategies can be deployed to this end.

View Supplemental Document (pdf)
2:30 PM ALE1-TuA-5 Mechanistic Study of the Thermal Atomic Layer Etch of Cobalt Metal Using Propene and CO
Suresh Kondati Natarajan, Michael Nolan (Tyndall National Institute, Ireland); Patrick Theofanis, Charles Mokhtarzadeh, Scott B. Clendenning (Intel Corp.)

Due to the possibility of improved electromigration properties and lower resistivity at decreased critical dimensions, Co has been identified as a candidate to replace Cu and potentially W in future semiconductor devices. To enable the use of Co at such small dimensions, monolayer control in processing is desirable. Accordingly, Atomic Layer Etch (ALE) functions as a complementary process technique to well-established Atomic Layer Deposition (ALD) methodologies, such that sequential self-limiting etch processes can be targeted with monolayer control for ultra-thin film material removal.

Herein, we present a theoretical analysis using first principles simulations of a thermal ALE process for cobalt metal utilizing a sequential thermal-chemical etch cycle employing propene and carbon monoxide (CO). It is shown that ALE can be achieved via the oxidative addition-H2 elimination of propene to the Co surface followed by the introduction of CO to generate (η3-C3H5)Co(CO)3 as a volatile etch product at process conditions. It was found that the generation of (η3-C3H5)Co(CO)3 is thermodynamically favourable and necessitates a high CO step coverage. A full proposed mechanism for this potential thermal atomic layer etch process will be discussed.

2:45 PM ALE1-TuA-6 Selective Quasi-ALE of SiO2 over Si3N4 via Bottom-up Si3N4 Passivation: A Computational Study
Du Zhang, Yu-Hao Tsai, Yanxiang Shi, Mingmei Wang (TEL Technology Center, America, LLC)

Selective SiO2/Si3N4 atomic layer etching (ALE) has broad applications in both logic and memory device fabrication. Conventional methods of achieving SiO2/Si3N4 selectivity generally employ a preferential top-down carbon-based polymer passivation on Si3N4. However, achieving a highly preferential passivation of Si3N4 only is challenging in this top-down carbon polymerization approach. To overcome these limitations, we propose a two-step selective SiO2/Si34 ALE method that utilizes bottom-up Si34 passivation. In the first step, the formation of an ammonium (NH4+) salt blocking layer on the Si3N4 surface is enabled in a plasma containing H2 and HF via surface conversion, with its feasibility and reaction pathways demonstrated with thermodynamics and quantum chemistry calculations. The SiO2 substrate, by contrast, undergoes a partial surface reduction by the H radicals, demonstrated with molecular dynamics simulations. In the second step, the partially reduced SiO2 surface can be volatilized in a plasma containing fluorine and/or other halogens, leaving the Si3N4 underneath the NH4+ salt layer intact. This new approach provides a promising solution toward infinite SiO2/Si3N4 selectivity, thanks to the N atoms for the NH4+ salt passivation layer provided by the Si3N4 substrate alone. Moreover, the bottom-up passivation also translates into better pattern fidelity due to precise etch control, which is essential for the ever-shrinking CD of devices.

3:00 PM ALE1-TuA-7 Insights of Different Etching Properties between CW and ALE Processes using 3D Voxel-Slab Model
Nobuyuki Kuboi, Tetsuya Tatsumi, Jun Komachi, Shinya Yamakawa (Sony Semiconductor Solutions Corp., Japan)

Atomic layer etching (ALE) processes have attracted considerable attention to realize high control etching (layer-by-layer) and very low damage (defect creation) caused by ion bombardment from plasma [1]. To use ALE as a practical process in mass production of semiconductor devices, it is necessary to know how to control process nobs along with the mechanism. To obtain such knowledge in the view point of numerical simulations, we modeled the ALE process of SiO2 and Si3N4 films and simulated etching properties in the cases of conventional continuous-wave (CW) etching and ALE.

We propose a surface reaction model for the ALE process which consists of a deposition step by C4F8/O2/Ar plasma and etch step by Ar plasma for SiO2 and Si3N4 film etching. This is based on the slab models of SiO2 [2] and Si3N4 [3], which can give not only the etch rate and thickness of the C-F polymer layer but also the damage distribution described by Si dangling bond density on the etched layers. he surface layer is assumed to consist of two layers: a reactive layer divided by several thin slabs of lattice size order and a deposited C-F polymer layer on the reactive layer. We considered not only outflux of O and N from the reactive layer described previously [2][3] but also the enhancement effects of F from the deposition step and the etched polymer layer by an Ar+ ion on the etch yields of SiO2 and Si3N4 films by the Ar+ ion. To analyze the 3D etched profile for the self-aligned contact (SAC) process, we developed a 3D simulation technique using an advanced voxel model (called “smart voxel”), which also includes the slab model with the above surface reactions.

From the simulations for blanket film wafer and SAC etching compared with CW and ALE processes using our 3D voxel-slab model, we found that the use of monochromatic ion energy improve the controllability of surface layer thickness (polymer layer and reactive layer) and that quantitative control of the time variation of both the polymer layer thickness and ion penetration depth are necessary for low damage. Furthermore, relatively high SiO2 etch rate (~ 40 nm/min) with high selectivity (> 100) can be obtained after optimizing polymer layer thickness, ion energy, and cycle time. For realizing higher performance of ALE, accurate prediction of the surface reaction and further quantitative control of the plasma parameters are necessary.

References

[1] J. K. Keren et al., JVST A35 05C302 (2017).

[2] N. Kuboi et al., JJAP 50, 116501 (2011).

[3] N. Kuboi et al., JVST A33, 061308 (2015).

Session Abstract Book
(286KB, May 5, 2020)
Time Period TuA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule