ALD2019 Session ALE2-WeM: Materials Selective ALE

Wednesday, July 24, 2019 10:45 AM in Regency Ballroom A-C

Wednesday Morning

Session Abstract Book
(261KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule

Start Invited? Item
10:45 AM ALE2-WeM-12 Dynamic Temperature Control Enabled Atomic Layer Etching of Titanium Nitride
He Zhang, Y.S. Kim, Dongwoo Paeng (Lam Research Corp.)

TiN ALE was achieved with Rapid Thermal Sources using O2 plasma and Cl2. TiN surface was oxidized to TiO2 and the following Cl2 flow absorbed Cl on the oxidized surface. This modified layer can be thermally removed under intense light source irradiation. Full removal of few nm blank ALD TiN layer is demonstrated. XPS observed surface composition change after each step. Surface smoothen was also observed after etching. The ultra-short thermal pulses enabled fine control of surface reactions in each ALE steps. Thermal ALE of Ge under similar approach will also be discussed.

11:00 AM ALE2-WeM-13 Rapid Thermal-Cyclic Atomic Layer Etching of Thin Films with Highly Selective, Self-Limiting, and Conformal Characteristics
Kazunori Shinoda (Hitachi, Japan); Hiroyuki Kobayashi (Hitachi); Nobuya Miyoshi, Masaru Izawa (Hitachi High-Technologies); Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Etching processes with atomic level precision are important in order to provide next-generation of semiconductor devices that have densely arrayed high-aspect-ratio structures. There is thus considerable interest in the development of isotropic atomic layer etching (ALE) for a variety of materials used in semiconductor manufacturing. One approach for isotropic ALE is rapid thermal-cyclic ALE, which consists of cyclic repetitions of plasma exposure at lower temperature and infrared (IR) lamp annealing. The plasma exposure produces self-limiting modified layers on the surface of the target materials, and the IR lamp annealing removes the modified layer by thermal desorption. The authors demonstrated rapid thermal-cyclic ALE of a variety of materials such as Si3N4, SiO2, TiN, and W over the last several years.

Rapid thermal-cyclic ALE processes were originally developed on the basis of an understanding of plasma-surface reactions using in-situ analysis. As for Si3N4, in-situ x-ray photoelectron spectroscopy (XPS) analysis revealed that hydrogen and fluorine containing plasmas produce a self-limiting layer of ammonium hexafluorosilicate on the surface of Si3N4. Thermal desorption spectroscopy (TDS) analysis showed that lamp annealing decomposes the ammonium hexafluosilicate into SiF4, HF, and NH3. The result of TDS is consistent with the result of thermodynamic calculation of the decomposition of ammonium hexafluorosilicate. This technology is also applicable to other nitride films besides Si3N4. The authors have demonstrated self-limiting isotropic ALE of TiN using this technology.

A 300-mm ALE apparatus that consists of an inductively coupled plasma (ICP) source for downflow radicals, IR lamps for rapid thermal annealing, and in-situ ellipsometry for thickness monitoring was developed for rapid thermal-cyclic ALE. A self-limiting nature in both the plasma exposure step and the lamp annealing step has previously been demonstrated for Si3N4, TiN, and W. Conformal, highly selective etching for patterned samples was confirmed by transmission electron microscopy (TEM). Layer by layer ALE for Si3N4 of more than 100 cycles was confirmed by in-situ ellipsometry. Moreover, it was demonstrated that etching selectivity between different materials could be switched from infinitely selective to nonselective by adjusting the lamp annealing time. In this talk, applications of rapid thermal-cyclic ALE for a variety of dielectric and metal films will be presented.

11:30 AM ALE2-WeM-15 Atomic Layer Etching of HfO2 with Selectivity to Si by Utilizing Material-Selective Deposition Phenomena
Kang-Yi Lin, Chen Li (University of Maryland); Sebastian Engelmann, Robert L. Bruce, Eric A. Joseph (IBM T.J. Watson Research Center); Dominik Metzler (IBM Research - Albany); Gottlieb S. Oehrlein (University of Maryland)

Atomic layer etching (ALE) applies sequential deposition, reactant purge and etching steps with a short processing step length to establish self-limited material removal and atomic scale precision. The reactants during the ALE deposition steps may exhibit material-selective deposition based on the chemical affinity of precursor gases to the substrate material and nature of interfacial bonding. Integrating the feature of material -selective deposition with an etching step opens a new processing window for selective ALE. In this work, we evaluated the deposition behaviors of different hydrofluorocarbon (HFC) precursors, i.e. mixtures of methane (CH4) with trifluoromethane (CHF3) and mixtures of methane with octafluorocyclobutane (C4F8), on Si and HfO2 surfaces, respectively. This is followed by the investigation of substrate-dependent selective deposition using a mixture of HFC precursors to achieve HfO2 etching and etching selectivity relative to Si. Our results show that during the purge step of ALE sequences using CH4/CHF3 selectively deposit a fraction of a nm thick FC layers on Si surface while self-desorption is observed on the HfO2 surface . In contrast, mixtures of CH4 with C4F8 etchant do not show this self-desorption behavior for HfO2. By utilizing the selective deposition behavior seen for CH4/CHF3-based ALE in conjunction with low energy Ar ion bombardment, we were able to remove the top HfO2 layer while simultaneously forming a FC passivation layer on the underlying Si surface. In order to confirm the etching performance of CH4/CHF3-based ALE of HfO2, X-ray photoelectron spectroscopy (XPS) was used to study whether any HfO2 remains on the sample at the end of the processing cycle where the in-situ ellipsometry indicated a depletion of the HfO2 layer . The XPS results show that after the ALE processing the Hf-O peaks no longer exist in the Hf4f and O1s spectra. Instead, a weak intensity of the fluorinated Hf peaks are observed, suggesting the HfO2 layer with an initial thickness of 2.9 nm was removed and a few hafnium etching byproducts were left on the substrate. These results support the concept that gas pulsing of complex HFC precursors during ALE sequences provides the opportunity to achieve material-selective deposition and enable ALE selectivity of HfO2 relative to Si.

11:45 AM ALE2-WeM-16 Enhancing Etch Selectivity in Plasma-Assisted ALE of Silicon-Based Dielectrics using Surface Functionalization
Ryan Gasvoda (Colorado School of Mines); Scott Wang, Eric Hudson (Lam Research Corp.); Sumit Agarwal (Colorado School of Mines)

Stringent processing windows are required for the fabrication of sub‑7‑nm semiconductor devices, which in turn places severe constraints on conventional plasma­‑assisted etching. Atomic layer etching (ALE) is a promising etching technique that can provide high etch fidelity, directionality, layer‑by‑layer removal, and selectivity to meet the stringent processing demands. Plasma‑assisted ALE of SiO2 and SiNx typically consists of two sequential half‑cycles: fluorocarbon (CFx) deposition from a fluorocarbon plasma followed by an Ar plasma activation step. Typically, selectivity is achieved through manipulating the plasma and processing parameters. Recently, we proposed a methodology to further increase etch selectivity by selective prefunctionalization of the SiO2 or SiNx surface with hydrocarbons. We show that the abundance of hydrocarbon on the prefunctionalized surface promotes the formation of an etch inhibiting graphitic carbon film after just a few ALE cycles.

In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy and in situ 4‑wavelength ellipsometry during ALE to monitor the surface reactions, film composition, and net film thickness. We show that cyclic azasilanes can be used to selectively functionalize SiO2 over SiNx. Figure 1 shows the infrared spectra after 5 ALE cycles of SiO2 etching with (blue) and without (black) surface prefunctionalization. For the prefunctionalized surface, we observe an increase in absorbance from ~1500 – 1800 cm‑1, assigned to a graphitic hydrofluorocarbon film. After just 4 ALE cycles, this graphitic hydrofluorocarbon film reaches a thickness that acts as an etch stop layer. As a result, after 10 cycles, the etched thickness of SiO2 was ~23% of the case where there was no surface functionalization. This methodology can therefore be used to enhance overall etch selectivity for SiNx over SiO2. Further, we will discuss the role of Ar+ ion energy during the Ar plasma activation step on graphitic hydrofluorocarbon film formation. The length and structure of the hydrocarbon chain on the prefunctionalized surface will also be addressed.

View Supplemental Document (pdf)
Session Abstract Book
(261KB, May 5, 2020)
Time Period WeM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule