ALD2018 Session ALE1-TuM: ALE: Gas-phase and/or Thermal ALE

Tuesday, July 31, 2018 8:00 AM in Room 104-106

Tuesday Morning

Session Abstract Book
(325KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
8:00 AM ALE1-TuM-1 Fluorocarbon-based Atomic Layer Etching of Silicon Dioxide in Conventional Plasma Tools
Stefano Dallorto (Lawrence Berkeley National Laboratory); Andy Goodyear, Mike Cooke (Oxford Instruments Plasma Technology, UK); Scott Dhuey, Adam Schwartzberg (Lawrence Berkeley National Laboratory); Craig Ward (Oxford Instruments Plasma Technology); Ivo Rangelow (Ilmenau University of Technology, Germany); Stefano Cabrini (Lawrence Berkeley National Laboratory)

Controlling Ångstrom-thick film etching is essential for further development of sub-10 nanometer semiconductor manufacturing. The atomic scale era requires the use of decreasing film thickness together with stringent surface property control: preventing material damage and controlling over etching directionality and material selectivity.

Single digit nanofabrication requires the ability to achieve atomic scale etching control and material selectivity during pattern transfer. Atomic Layer Etching (ALE) satisfies these needs as critical dimensions continue to shrike. An ALE process consists of two sequential steps: A) surface modification: a thin reactive surface layer with a well-defined thickness is created B) layer removal: the modified layer is more easily removed than the unmodified material.

Here we study a Fluorocarbon(FC)-based ALE process using Ar plasma and CHF3 gas for controlling the etching of silicon dioxide at the atomic level. During the saturative surface reaction, CHF3 is injected in the steady state Ar plasma. CHF3 breaks and forms some fluorocarbon polymer on the SiO2 surface. CHF3 is then purged from the chamber and FC polymer is a source of fluorine, which reacts with SiO2 modifying its surface. For low energy Ar+ ion bombardment conditions, the physical sputter rate of the substrate vanishes, whereas the modified surface can be etched when FC reactants are present at the surface .

With the goal of achieving high selectivity FC-based ALE, we first investigated the etching per cycle (EPC) using spectroscopic ellipsometer on unpatterned surfaces. Using CHF3-based ALE for SiO2 etching, we proved ALE self-limiting behavior with etching rate of 6 Å/cycle. Figure 1 in Supplement shows SiO2 features varying the ion power during the removing step (which decrease moving left to right) etched using different masks: ZEP and Chromium. Using a Cr mask (Figure 1: Row 2) the EPC is similar to the one of flat surfaces. Instead, SiO2 features using ZEP mask (Figure 1: Row 1) have an EPC 50% higher than expected. Polymer mask (ZEP) is a source of carbon, hydrogen and oxygen, which interfere with the etch process bringing it out of the self-limiting window. SiO2 features etched using lower DC bias (17 V) are aspect-ratio independent and results in a low degree of physical/ionic etching.

A successful application of the FC-ALE approach has been demonstrated. Overall, the cyclic CHF3/Ar etch has proven to pattern features well with an hard mask, with great potential for significant improvement in overall etch performance.

View Supplemental Document (pdf)
8:15 AM ALE1-TuM-2 Damaged Layer Control for Atomic Level Processes
Masanaga Fukasawa, Tetsuya Tatsumi (Sony Semiconductor Solutions Corp., Japan)

Atomic layer etching (ALE) is one of the most attractive methods for overcoming the limitations of conventional processes. One of the advantages of ALE is low-damage etching. However, it is impossible to stop plasma-induced damage from occurring because ions, radiation, and radicals are irradiated on a surface simultaneously. In the case of low-energy incidences of ions (~ 50eV), the effect of radiation and radicals also has an impact on damage generation. In this presentation, I review the results of ion/radiation damage analysis.

Suppression of Si substrate damage caused by energetic ion bombardment is one of the most critical issues in advanced devices. The threshold energy for atomic displacement (Ed) of bulk Si crystal is reported to be 10-30 eV. When we use ion energy higher than the Ed, it is possible to generate damages in Si substrate. Si substrate damage during gate electrode etching causes a “Si recess” structure to form, which is reported to degrade device performance. Although the damage basically consists of a surface oxidized layer and underlying dislocated Si, the damage structure strongly depends on the incident ion species, ion energy, and oxidation during air and plasma exposure. As both the Si recess and remaining dislocated Si degrade electrical performance, precise control of incident ion energy is indispensable to minimize damage generation.

We also found that photons with longer wavelengths than optical bandgap energy could reach the underlying dielectrics/Si interface and cause interface damage. UV radiation transmitting through the upper dielectrics could cause the electrical characteristics of underlying MOS devices to fluctuate. Thus, we must control VUV/UV radiation from plasma, even in the case of ALE processes.

ALE is one of the pulsed plasma, so we investigated an effect of transient behavior of a pulse modulated inductively coupled plasma on the photon-induced interface defects. The time-resolved OES revealed that the optical emission overshoot appeared after ignition because of the variation of the electron temperature and number density in the early ON phase. As a result, the amount of UV radiation damage increased compared with CW plasma. Thus, control of transient behavior is essential for reducing photon-induced damage in pulsed plasma.

The key challenges of atomic level processes for plasma-induced damage reduction are almost same as CW plasma. The effects of VUV/UV radiation and radicals become particularly apparent in the case of low-energy incidences of ions. In addition, the control and the monitoring of the transient behavior of pulsed plasma become more important for atomic precision etching.

8:45 AM ALE1-TuM-4 Selective Thermal Cyclic ALE of Lanthanum Oxide via Formation and Desorption of Organo-lanthanum Complex
Yoshihide Yamaguchi, Kazunori Shinoda, Sumiko Fujisaki (Hitachi R&D Group, Japan); Yutaka Kouzuma, Satoshi Sakai, Kohei Kawamura, Masaru Izawa (Hitachi High-Technologies Corp., Japan)

The demand for atomic layer/level etching (ALE) is increasing as the geometries of semiconductor devices continue to shrink. Remarkable progress has been made in the recent years to meet the enhanced requirements for a variety of materials to be atomically etched. One approach for selective isotropic ALE is rapid thermal cyclic ALE, which is cyclic repetitions of a self-limiting surface modification and a thermal desorption. Rapid thermal cyclic ALE of SiN, TiN, and W was developed by the authors [1, 2]. As for high-k lanthanum oxide (La2O3) whose boiling point is more than 4000°C, thermal etching via a low temperature (< 250°C) volatile organo-lanthanum complex has also been developed [3], although the cyclic process has yet to be confirmed.

In this work, we present thermal cyclic ALE of La2O3 exposed to a mixture of a ketone-based ligand and a stabilizer followed by a thermal annealing. Our preliminary cyclic experiments on powdered La2O3 demonstrated that the mass of the powder sample decreased as the number of repetition cycles increased. In one cycle of chemical exposure and annealing, the average loss in mass was 0.074 mg, which corresponds to about 30 nm/cycle for a La2O3 film.

Formation of an organo-lanthanum complex was quasi-self-limiting because the change in the mass of the powder sample had shown saturation behavior. The volatile organic species in preliminary experiments were collected and spectroscopically analyzed. The resultant data was identified as an organo-lanthanum complex bearing a ligand moiety and a stabilizer moiety.

Next, we examined the effect of temperature during the chemical exposure. In this experiment, both La2O3 and SiO2 films deposited on silicon substrates were used as sample materials. Substrate temperatures during the chemical exposure were 140°C and 260°C. The films’ thicknesses were evaluated by scanning electron microscopy after the exposure. Because the etching of the La2O3 film was not detected when the temperature was 140°C, we concluded that the formation of the organo-lanthanum complex is self-limiting. On the other hand, continuous etching of the La2O3 film was observed when the temperature was 260°C, at which point the organo-lanthanum complex was volatile. The etching of SiO2 was not detected at both temperatures. From these findings, we concluded that selective, thermal cyclic ALE of lanthanum oxide was successfully demonstrated.

[1] K. Shinoda et al., J. Phys. D: Appl. Phys. 50, 194001(2017).

[2] K. Shinoda et al., SPIE Advanced Lithography 10589-17 (2018).

[3] Y. Yamaguchi et al., AVS Symposium and Exhibition, PS+NS+SS+TF-ThM13 (2017).

9:00 AM ALE1-TuM-5 Thermal Atomic Layer Etching of Silicon Using an Oxidation and “Conversion-Etch” Mechanism
Aziz Abdulagatov, Steven M. George (University of Colorado - Boulder)

The thermal atomic layer etching (ALE) of silicon was performed using an oxidation and “conversion-etch” mechanism (see Supplemental Figure S1). In this process, the Si surface is oxidized to a silicon oxide layer using O2 or ozone. The silicon oxide layer is converted to an Al2O3 layer using trimethylaluminum (TMA). The Al2O3 layer is fluorinated by HF to an AlF3 layer prior to the removal of the AlF3 layer by ligand-exchange using TMA. Si ALE was studied using silicon-on-insulator (SOI) wafers in a warm wall reactor with a hot sample stage. In situ spectroscopic ellipsometry was employed to monitor the thickness of both the silicon and the silicon oxide layer during Si ALE. These studies observed that the silicon film thickness decreased linearly with number of reaction cycles while the silicon oxide thickness remained constant.

Using an O2-HF-TMA reaction sequence, the Si ALE etch rate was 0.4 Å/cycle at 290°C (see Supplemental Figure S2). This etch rate was obtained using static reactant pressures of 250, 1.0 and 1.0 Torr, and exposure times of 10, 5 and 5 s, for O2, HF and TMA, respectively. Reducing the O2 pressure below 250 Torr reduced the etch rate. A lower etch rate of 0.2 Å/cycle at 290°C was observed at an O2 pressure of 30 Torr. The order of the reactant sequence affected the Si etch rate. Changing the reactant sequence from O2-HF-TMA to O2-TMA-HF reduced the etch rate from 0.4 to 0.2 Å/cycle at 290°C. Comparable etching rates were observed using ozone instead of O2 as the oxidant. Using an O3-HF-TMA reaction sequence, the Si ALE etch rate was 0.5 Å/cycle at 290°C. The Si ALE etch rate decreased with process temperature for both the O2-HF-TMA and O3-HF-TMA reaction sequences. An oxide thickness of ∼10-20 Å remained after Si ALE at 290°C. However, this oxide thickness could be removed by sequential TMA and HF exposures without influencing the underlying silicon film.

This new thermal Si ALE process is expected to yield isotropic etching. Thermal Si ALE will complement the plasma Si ALE process based on directional Ar+ ions that yields anisotropic etching. Thermal Si ALE should be useful in advanced semiconductor fabrication. Thermal Si ALE could also be utilized for atomic-scale polishing and cleaning of silicon surfaces. In addition, there may be applications in other areas such as silicon-based optoelectronics, photonics and MEMS fabrication.

View Supplemental Document (pdf)
9:15 AM ALE1-TuM-6 Rapid Atomic Layer Etching of Al2O3 using Sequential Exposures of Hydrogen Fluoride and Trimethylaluminum with No Purging
David Zywotko (University of Colorado - Boulder); Jacques Faguet (TEL Technology Center, America, LLC); Steven M. George (University of Colorado - Boulder)

An increase in Al2O3 atomic layer etching (ALE) rates versus time was demonstrated using sequential, self-limiting exposures of hydrogen fluoride (HF) and trimethylaluminum (TMA) as the reactants with no purging. The normal purging expected to be required to prevent chemical vapor etching (CVE) or chemical vapor deposition (CVD) is not necessary during Al2O3 ALE. The purgeless, rapid atomic layer etching (R-ALE) was studied from 250°C to 325°C using various techniques.

In situ quartz crystal microbalance (QCM) measurements monitored Al2O3 R-ALE at 300°C. The Al2O3 R-ALE process produced linear etching versus number of R-ALE cycles. The net result of fluorination by HF and ligand-exchange with TMA was a mass change per cycle (MCPC) of -32.1 ng/cm2 using sequential, 1 s exposures for both HF and TMA with no purging. This mass change equates to a thickness loss of 0.99 Å/cycle or 0.49 Å/s. The Al2O3 R-ALE etch amount per cycle at 300°C was nearly equivalent to the previously measured Al2O3 ALE etch amount per cycle at 300°C using 30 s purge times. However, the Al2O3 R-ALE etch rate versus time at 300°C was 78 times faster than the previously measured Al2O3 ALE etch rate versus time at 300°C using 30 s purge times. Ex situ spectroscopic ellipsometry (SE) and XRR measurements also revealed temperature-dependent etch rates for Al2O3 R-ALE from 0.02 Å/cycle at 270°C to 1.12 Å/cycle at 325°C.

Additionally, patterned SiO2 wafers were used to compare Al2O3 R-ALE and normal Al2O3 ALE with purging in high aspect ratio structures. Scanning electron microscope (SEM) images revealed that the etching was uniform for both processes and yielded comparable etch rates per cycle in the high aspect ratio structures. The HF and TMA precursors were also intentionally overlapped by various amounts to explore the behavior when both precursors are present at the same time. Similar to atomic layer deposition (ALD), where precursor overlap produces chemical vapor deposition (CVD), precursor overlap during Al2O3 ALE leads to AlF3 CVD. However, any AlF3 CVD growth that occurs during precursor overlap is removed by spontaneous AlF3 etching during the subsequent TMA exposure. This spontaneous AlF3 etching by TMA explains why no purging is necessary during R-ALE.

9:30 AM ALE1-TuM-7 Self-limiting Thermal Atomic Layer Etching of Tungsten Metal Using O2 Oxidation and WCl6 or WF6: Role of Halogen Species in Temperature Dependence of ALE Reaction Rate
Wenyi Xie, Paul Lemaire, Gregory N. Parsons (North Carolina State University)

Self-limiting atomic layer etching (ALE) is essential for enabling the manufacturing of complex transistor structures. Thermal ALE, based on thermal desorption of volatile etch products, is appealing for avoiding plasma-induced damages of sensitive device structures. However, thermal ALE process for metals is currently lacking. In our study, we demonstrated two thermal atomic layer etching processes based on the sequential oxidation-etch reaction schemes which allow controlled W to proceed for temperatures ≥ 275 and ≥ 200°C, respectively.

In the first part of our study, we investigated a thermal tungsten (W) ALE process using oxygen (O2) and tungsten hexafluoride (WF6). Based on insights gained from thermodynamic modeling, we proposed that W etching could proceed in two sequential reaction steps: 1) oxidation of tungsten, and 2) formation and removal of volatile WO2F2 during reaction with WF6 (Supplemental, Figure 1a). Using in-situ Quartz Crystal Microbalance (QCM) analysis, we found the O2 and WF6 half reactions exhibit self-limiting characteristics therefore making the O2/WF6 process promising for W ALE. In addition, the etch rate is ~ 6.3 Å/cycle at 300°C but decreases to 0.34 Å/cycle when temperature decreases from 300°C to 220°C (Supplemental, Figure 1b). The significant decrease in etch rate is likely due to the limited volatility of WO2F2 at temperatures < 275°C as suggested by thermodynamic modeling results.

W etching with the O2/WF6 process is limited to temperatures ≥ 275°C, however lower temperature ALE processes are more desired for enabling selective deposition. Through further thermodynamic modeling studies, we find that a lower temperature W ALE process is viable when tungsten hexachloride (WCl6) is used as the etchant instead of WF6. Thermodynamic modeling results revealed that WCl6 reaction with WO3 can give rise to formation of volatile WO2Cl2 at temperatures ≥ 200°C (Supplemental, Figure 2a). Using in-situ QCM analysis, we found that W etching with O2/WCl6 process is self-limiting and proceeds readily for temperatures ≥ 220°C (Supplemental, Figure 2b).

In summary, we demonstrated thermally driven W ALE using O2/WF6 and O2/WCl6 processes, and our work on thermal W ALE serves to increase the fundamental understanding on ALE reactions and expand the base of available ALE processes for advanced material processing.

View Supplemental Document (pdf)
9:45 AM ALE1-TuM-8 Modifying Thermal HF-based ALE Methods via Secondary Interactions with Alkali Compounds
John Hennessy, April Jewell, Shouleh Nikzad (Jet Propulsion Laboratory, California Institute of Technology)

Alternating exposure to trimethyaluminum (TMA) and hydrogen fluoride (HF) has been used previously in the ALD of AlF3 thin films. At substrate temperatures higher than approximately 225°C, the same exposure can result in the thermal atomic layer etching (ALE) of thin films of Al2O3. In previous work, we have shown that TMA/HF exposure performed in the presence of lithium fluoride (LiF) can significantly increase the Al2O3 etch rate and reduce the transition temperature where etching dominates over deposition.[1] In this work, we expand this approach to study the effect of other alkali halide compounds such as NaCl, KBr, and CsI. These materials are introduced externally into our vacuum chamber and influence the process behavior over surprisingly large spatial scales. All materials are observed to have an enhancing effect on the ALE process with respect to the etch rate of Al2O3 at a given substrate temperature. In some cases these materials can enable the reduction of the substrate temperature where continues etching of Al2O3 is observed to as low as 125°C, a regime where the deposition of AlF3 would otherwise dominate the TMA/HF process. The choice of alkali material, choice of aluminum precursor, and process parameters like substrate temperature and precursor dose, can be exploited to tune the rate and selectivity of the thermal HF-based ALE process. We present characterization of these processes to etch Al2O3 in a self-limiting manner, and discuss applications of the method related to the performance improvement of aluminum optical components in the deep ultraviolet for space applications.

[1] J. Hennessy et al., J. Vac. Sci. Technol. A 35, 041512 (2017).

Session Abstract Book
(325KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule