ALD2018 Session AS-TuM: Area Selective Deposition I

Tuesday, July 31, 2018 8:00 AM in Room 113-115

Tuesday Morning

Session Abstract Book
(297KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2018 Schedule

Start Invited? Item
8:00 AM AS-TuM-1 Selective Area Deposition of BN using Electron Enhanced ALD
Jaclyn Sprenger, Andrew Cavanagh, Huaxing Sun (University of Colorado - Boulder); Alexana Roshko, Paul Blanchard (National Institute of Standards and Technology); Steven M. George (University of Colorado - Boulder)

Electron-enhanced atomic layer deposition (EE-ALD) is a line-of-sight technique and can produce selective area deposition. The electron beam activates surface sites for precursor adsorption. If a portion of the substrate surface is masked or is parallel to the electron beam, then no active sites will be generated and EE-ALD will not occur. The prospect of selective area deposition by EE-ALD was investigated by depositing boron nitride (BN) EE-ALD films on a trench structure.

EE-ALD of BN has been demonstrated using sequential exposures of borazine (B3N3H6) and electrons (50-450 eV) at room temperature. GaN [1] and Si [2] have also been deposited using EE-ALD. EE-ALD uses electron stimulated desorption (ESD) to remove surface species. The ESD step in the EE-ALD of BN removes surface hydrogen. The result of ESD is a substrate surface terminated with dangling bonds. These dangling bonds are reactive and serve as sites for precursor adsorption.

Selective area deposition was investigated by growing an EE-ALD BN film on a trench structure. For a trench structure with vertical walls aligned parallel to the electron beam, there should be no electron flux and no film growth on the vertical walls. In contrast, the top and bottom of the trench will receive the full flux of the electron beam and should obtain film growth. To test these ideas, high resolution TEM images were recorded after 1000 cycles of BN EE-ALD on a trench structure (see supplemental Figure S1). BN films were observed on the top and bottom of the trench and very little BN film growth was measured on the side walls. STEM/EELS elemental mapping of B, N, Si, O and a false-color composite also showed a thick BN film on the top and bottom of the trench. Very little BN film growth was observed on the trench wall (see supplemental Figures S2).

The walls of the trench structures used in this work did not have vertical walls. In addition, the present experimental configuration did not allow for the exact alignment between the substrate surface normal and the electron gun. Even with this set-up, the effects of selective area deposition were apparent on the trench structure. The results show the promise of EE-ALD for applications such as the bottom-up-fill of trenches or vias.

[1] J.K. Sprenger, A.S. Cavanagh, H. Sun, K.J. Wahl, A. Roshko and S.M. George, Chem. Mater. 28, 5282 (2016).

[2] J.K. Sprenger, A.S. Cavanagh, H. Sun, and S.M. George, J. Vac. Sci. Technol. A. 36, 01A118 (2018).

View Supplemental Document (pdf)
8:15 AM AS-TuM-2 Reactive Monolayers for use in Area Selective Atomic Layer Deposition
Rudy Wojtecki (IBM Research - Almaden); Ekimini Anuja De Silva (IBM Research - Albany); Noah Frederick Fine Nathel (IBM Research - Almaden); Hosadurga Shobha (IBM Research - Albany); Noel Arellano, Alexander Friz, Gregory Wallraff (IBM Research - Almaden)

Despite critics declaring an end to Moore’s law scaling continues as technology roadmaps target feature sizes below 10nm. As we advance these roadmaps, the lithography used to define features experience increasingly significant scaling errors that include edge placement, overlay and critical dimension uniformity, which can all lead to device variation and ultimately impact device performance. Selective area atomic layer deposition (SAALD), offers the unique advantage of exploiting surface chemistry to deposit a material in a targeted area and could, in principle, eliminate a lithography step – and therefore an alignment step – that introduce these errors. This would offer not only a significant cost savings but may also relax upstream design rules and enable access to non-traditional structures (e.g. 3D patterning).

Monolayers formed from a collection of well-organized small molecules can be utilized as effective barriers to block the deposition of hundreds of ALD cycles, or in combination with repair strategies to extend selective deposition capabilities. This is an attractive strategy as it enables the implementation of well-established head group chemistry to selectively deposit the monolayer on one surface vs. another. However, in SAALD there have only been reports of monolayers where weak Van der Waals interactions are used to drive the formation of well ordered crystalline monolayers, generally long chain alkanes. When the monolayer component has a high vapor pressure this can lead to process requirements where to provide a good barrier may take as long as 48hrs. We have designed monolayer components bearing supramolecular interacting groups that aide in alignment and reduce formation time. Furthermore, functional groups can be incorporated that are subsequently reacted to produce a robust barrier that deactivate surfaces for significantly more ALD cycles in comparison to monolayers that simply exploit the weak Van der Waals interactions.

These masking materials may provide the ability to replace a lithography step in semiconductor manufacturing provided SAALD can achieve a resolution relevant to current technology nodes. Therefore, we have also evaluated these reactive monolayer blocking schemes on planar structures with critical dimensions at and below 200nm allowing us to quantify the limiting resolution of these monolayer masks. Furthermore, these schemes may provide the ability to relax design rules and enable the selective deposition on fabrication of 3D structures, an area we are currently investigating.

+ Author for correspondence: rjwojtec@us.ibm.com

8:30 AM AS-TuM-3 Area-selective Atomic Layer Deposition using Si Precursor Inhibitors
Mohammad Rizwan Khan (Incheon National University, Korea)

Area-selective atomic layer deposition (AS-ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of electronic devices. In this work, studies will be carried out to deposit the small size of Si precursor i.e., new inhibitors namely (N, N-dimethylamino) dimethylsiliane (DMADMS) and (N, N-dimethylamino) trimethylsiliane (DMATMS) on different substrates using various temperature for improving the packing quality and a highly ordered structure with uniformity and defect free, and have excellent blocking properties. A smaller size of the tail group increases the packing quality because of less steric hindrance, so less bulky tails such as DMADMS and DMATMS are more effective than branched or aryl tails such as octadecyltrichlorosilane (ODTS). Meanwhile, the other advantage of small size inhibitor is easy vaporization deposition which could be easily integrated into ALD process. The SiO2 substrate coated with the inhibitors, yielding a hydrophobic surface with a water contact angle of about 80°-90°. For example, adsorption of DMADMS molecules with methyl (–CH3) tail groups on an oxide substrate transforms the surface from an OH-terminated hydrophilic surface into a CH3-terminated hydrophobic surface. The DMADMS molecules selectively adsorbed to the OH-terminated oxide areas, and AS-ALD of metal and metal oxide (Ru, Al2O3) was obtained on part of the surface not covered with the hydrophobic DMADMS molecules. The DMADMS adsorption on SiO2 was investigated with techniques that include surface potential, ellipsometry, and DFT calculation. Surface reactivity of SiO2 is decreased by adsorption of DMADMS, and thus adhesion of DMADMS coated SiO2 is lower than DMADMS free SiO2. The study thus provides useful information on the design of efficient ALD Si precursors with conformal, dense, and high-purity films for area selective growth.

8:45 AM AS-TuM-4 In situ and ex situ Monitoring and Metrology for the Development of a Selective Deposition Process
Christophe Vallee (LTM-UGA, France); Rémy Gassilloud (CEA-Leti, France); Bernard Pelissier, Rémi Vallat, Vincent Pesce, Olivier Salicio (Univ. Grenoble Alpes, LTM, France); Thomas Grehl (ION-TOF GmbH); Philipp Brüner (ION-TOF GmbH, Germany); Nicolas Posseme (CEA-Leti, France); Patrice Gonon, Ahmad Bsiesy (Univ. Grenoble Alpes, LTM, France)

Different approaches are currently used for the development of Area Selective Deposition (ASD) processes such as Selective ALD using SAM, Selective ALD using block copolymer, Selective ALD using temperature and inherent selectivity, Selective ALD using spatial ALD, Selective ALD using ABC cycle, as well as Selective ALD using an etching cycle in a ALD cycle (our process). For all these approaches it is necessary to precisely control and understand the interactions and mechanisms between the precursor, the reactant and the surface. Therefore, in this paper we will show how metrology and more precisely in situ and ex situ surface characterization can play an important role for the development of an ASD process.

The ASD process used for this work is based on the idea of combining ALD and ALE (Atomic Layer Etching) and is a 3 step ASD process. Firstly, inherent selectivity or surface plasma treatment is used to start the growth on one surface versus the other. Secondly, when the growth is starting on the second surface, an etching step is added. Thirdly, after or during the etching step a surface passivation step must be used to prevent any other growth during a given number of ALD cycles. These “super ALD cycles” can be repeated as many times as wanted to obtain the desired selective thickness [1].

Key steps for the process are that we need a precise control of the etching at the atomic scale and a control of its selectivity. Controlling the nature and density of defects induced by the etching step or the passivation steps and understanding their impact on the physical and electrical properties of the selectively deposited films are also required. Moreover, for the optimization of the process we need to precisely understand why after a given number of ALD cycles, the passivation is no more effective. Therefore, in situ as well as ex situ monitoring and metrology are bringing key advantages for these processes studies and developments. Among others, quasi in situ XPS [2] allows to access accurately to the chemical nature of the deposited film at each step of the process while avoiding any atmospheric unwanted oxidation. As an example, thanks to quasi in situ XPS, it is shown that after a passivation step on Si and TiN surfaces, more than 10 PEALD cycles are needed to start the growth of TiO2 on Si while it is starting from the first cycle on TiN surface. Hence, with this presentation we will show how to optimize an ASD process using in situ ellipsometry, XPS, and OES, in addition to ex situ XRR and LEIS measurements.

[1] R. Vallat et al, J. Vac. Sci. Technol. A35 (2017) 01B104

[2] B. Pelissier et al, Microelectronic Engineering85 (2008) 151-155

9:00 AM AS-TuM-5 Area-Selective Atomic Layer Deposition of TiN, TiO2, and HfO2 on Si3N4 in Sub-50 Nanometer Si3N4/Amorphous Carbon Structures
Eric Stevens (IMEC); Yoann Tomczak, Boon Teik Chan, Efrain Altamirano Sanchez (IMEC, Belgium); Gregory N. Parsons (North Carolina State University); Annelies Delabie (IMEC, Belgium)

This work investigates initial growth of TiN, TiO2, and HfO2 thin films during thermal atomic layer deposition (ALD) onto an amorphous carbon (aC). ALD of TiN (TiCl4/NH3 390oC), TiO2 (Ti(OCH3)4/H2O 250oC), and HfO2 (HfCl4/H2O 300oC) on pristine aC films resulted in uninhibited thin-film growth. A H2 plasma treatment resulted in surface reduction and passivation of aC films, with delayed film coalescence for TiN, TiO2, and HfO2 ALD on aC. After 200 TiN cycles on H2 plasma-treated aC, Ti levels were below the Rutherford backscattering spectrometry detection limit (8×1013 at/cm2), whereas Si3N4 substrates show ~6 nm of TiN growth (selectivity ~200:1). Exposing plasma-treated aC to H2O induces nucleation for TiN ALD, consistent with favorable nucleation on hydroxyl sites. We demonstrate selectivity scaling using 45 nm aC/Si3N4 line/space patterns, where a 5.8 nm TiN film was deposited on Si3N4 with minimal particle formation on aC, with selectivity loss primarily on feature corners and edges. We conclude that improved scaling of selectivity to nanometer scale patterns can be achieved by optimizing surface loading and extent of plasma exposure, and by further understanding shape effects in nanoscale surface plasma modification.

View Supplemental Document (pdf)
9:15 AM AS-TuM-6 Toward Area Selective ALD on Metal/Dielectric Patterns: Comparison of Cu, Co, W and Ru
Dara Bobb-Semple, Stacey F. Bent (Stanford University)

Device fabrication today is based on ‘top-down’ processes with multiple lithography and etching steps which serve as a bottleneck as well as a source of errors in device miniaturization. Area-selective atomic layer deposition (AS-ALD), which combines a surface modification technique and atomic layer deposition (ALD) in a ‘bottom-up’ approach to nanopatterning, shows promise in addressing these issues. A common approach in AS-ALD is to use densely-packed, self-assembled monolayers (SAMs) to modify the substrate surface and block ALD.

Incorporating this selective ALD process into fabrication schemes requires understanding how SAMs interact with different surfaces and also determining whether they can block ALD. In this work, we perform comparative investigations of the formation of octadecylphosphonic acid (ODPA) SAMs on four metal substrates: Cu, Co, W and Ru. After SAM deposition, model metal and metal oxide ALD processes were performed to assess the blocking ability of the SAM layer on each substrate. X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), water contact angle (WCA) goniometry, and X-ray reflectivity were used to characterize the modified samples before and after ALD.

Solvent choice and deposition temperature were found to affect ODPA SAM formation. The most passivating SAMs of ODPA were formed on Co and Ru in t-butanol whereas on W the most passivating SAM was formed in toluene. The ODPA covered substrates showed a C/P ratio of ~20 and average WCA of 110 ± 2° which confirm SAM formation.

Testing against ALD showed that ODPA SAMs could block ALD on Cu, Co and W to varying degrees, but not on Ru. ODPA SAMs were successful in preventing growth of at least 17 nm of ZnO ALD on W, whereas only 7 nm was blocked on Co and ~5 nm on Cu. Similarly, 3-4 times more Al2O3 ALD was blocked using ODPA SAMs on W versus that on Cu and Co. These results suggest that a higher quality SAM is being formed on the W surface than on the other metals, which may be explained in terms of the Lewis acid character of that substrate. By implementing a sequential deposition and etch process using acetic acid as the etchant, selectivity could be extended to over 20 nm of Al2O3 growth on Co/SiO2 patterns with feature sizes as small as 20 nm. These studies provide insights that are important for consideration in the development of fabrication processes which incorporate SAMs for AS-ALD. Finally, we will present developments toward SAM-free processing for achieving selective ALD on metal/dielectric patterns.

9:30 AM AS-TuM-7 Advanced Cycles for Area-selective Atomic Layer Deposition
Adrie Mackus (Eindhoven University of Technology, Netherlands)

Area-selective ALD is currently gaining momentum, motivated by its potential application in self-aligned fabrication schemes. Conventional approaches for achieving area-selective ALD were predominantly based on the local deactivation of the surface prior to the deposition using self-assembled monolayers or resists films, followed by standard AB-type (i.e. two-step) ALD cycles. Many of the recently developed approaches for area-selective ALD rely on adding steps during the ALD process to influence the selectivity of the deposition, for example in the form of ABC-type cycle,1 or supercycle2 processes.

In this contribution, two approaches based on advanced ALD cycles will be discussed and illustrated by recent work on area-selective ALD of SiO2 and Ru. An ABC-type ALD cycle was developed for area-selective ALD of SiO2, in which an inhibitor is dosed in step A that selectively adsorbs on specific surfaces and subsequently blocks the precursor adsorption in step B.1 One of the merits of this approach is that it is compatible with the use of plasmas or ozone as the co-reactant in step C. Furthermore, the selectivity for area-selective ALD of Ru was improved by combining ALD cycles with selective etching cycles in a supercycle recipe.

The main requirements for these advanced ALD cycles will be discussed. In addition, new opportunities that are opened up by the development of these novel area-selective ALD strategies will be described.

1. A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, and A.J.M. Mackus, ACS Nano 11, 9303 (2017)

2. R. Vallat, R. Gassiloud, B. Eychenne, and C. Vallée, J. Vac. Sci. Technol. A.35, O1B104 (2017)

Session Abstract Book
(297KB, May 5, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic AS Sessions | Time Periods | Topics | ALD2018 Schedule