ALD2018 Session ALE-MoP: Atomic Layer Etching Poster Session

Monday, July 30, 2018 5:45 PM in Premier Ballroom

Monday Afternoon

Session Abstract Book
(365KB, May 5, 2020)
Time Period MoP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule

ALE-MoP-1 A Reliable Atomic Layer Etching Approach in Angstrom-level Flatness Control for Uniform CVD Grown TMDs Preparation
Chao-An Jong (National Nano Device Laboratories, Republic of China); Po-Kai Chiu (NARL, Republic of China); Cheng-Ying Wang, Ming-Hung Lee (National Taiwan Normal University, Republic of China); Pang-Shiu Chen (Minghsin University of Science & Technology, Republic of China); Chien-Pao Lin, Chien-Nan Hsiao (NARL, Republic of China)

Transition metal dichalcogenides (TMDs) has attracted considerable attention because of their tunable electrical and optical properties which is sensitive to their thickness. It is well known that the bandgap transited from direct to indirect when thickness increased [1-3]. The TMDs films usually obtained by exfoliated and CVD process are widely explored for different electronics and optoelectronic device fabrication. Although CVD process is announced for the large scale and continuous film preparation, it is still challenging to control the layer uniformity during the randomly nucleation and growth process. (Fig. 1)

A modified CVD process, which deposit precursor film on desired substrate and followed by the chemical reaction with chalcogens, is promising not only its potential for wafer scale synthesis but also the capability of a continuous, selective area synthesis and uniform layered TMDs formation. Lots of experimental data announced for the controllability between the precursor thickness and the numbers of TMDs layers [4-5]. However, it is very challenging for bottom-up growing film in angstrom level thickness and flatness control.

In this study, we propose a prospective application of atomic layer etch (ALE) process [6] in precursor film thickness and flatness control for uniform CVD grown TMDs preparation (Fig. 2). An ionic plasma source is equipped for thinning down. The ions were supplied from ionized Ar gas (99.9995%) with corresponding 380 W RF power, 300 V grid voltage, and 300 mA current. A set of radio frequency neutralizer (RFN) was used to prevent charge build-up on the substrate surface. By adjusting the RF power and the grid current/voltage, the thickness and flatness of precursor film can be well controlled.

The flatness of studied films are characterized by atomic force microscope (AFM). 10nm WO3 film is prepared for etching. Followed by the sulphurization process, layered WS2 films were also characterized by Raman and photoluminescence (PL) measurement. The root mean square (rms) roughness of as-deposited WO3 film, etched WO3 film and WS2 film were taken. The rms data of etched WO3 films are around 5A ± 0.5A within 80mm x 80mm scanned area (Fig. 3). The rms data for WS2 film formed by sulfurizing etched WO3 film are 4A ± 0.5A. The layer number of WS2 film can be well identified by calculating Raman frequency difference between the in-plane (E12g) and out-of-plane (A1g) modes (Fig. 4). Compare to the PL spectrum, a specific number layer of high quality TMDs can be precisely synthesized. The approach is promising for wafer-scale, continuous and uniform TMDs film and stacking heterojunction p-n TMDs-based device fabrication.

View Supplemental Document (pdf)
ALE-MoP-2 Surface Cleaning of SiO2 Layers by Atomic Layer Etching with Low Global Warming Potential Gases
Yongjae Kim, Taehwan Cha, Sangin Lee, Yegeun Cho, Heeyeop Chae (Sungkyunkwan University (SKKU), Republic of Korea)

When determining the yield and performance of semiconductor devices, controlling impurities and particles is important. Etching process generates many contaminants for instance native oxide, organic materials and inorganic materials. Among them, native oxide is considered critical one because it causes higher contact resistance. It can create higher contact resistance, which makes the IC inoperable. Other disadvantages of native oxide involve leakage current on gate oxide and inadequate formation of silicide in devices. [1] Typically wet cleaning processes are mainly applied to remove native oxide, but the processes are reaching the limits in high aspect ratio patterns in nanoscale and highly integrated devices. [2] Recently new dry-cleaning technology are being studied to overcome these problems of wet cleaning processes. [3] Many perfluorocarbon (PFC) such as CF4, CHF3, and C4F8 gases are widely used in semiconductor processes for etching and cleaning processes. PFCs are global warming gases and the have long lifetime. [4]

In this work, cyclic plasma process was studied for SiO2 (native oxide) removal with surface modification in an inductively coupled plasma (ICP) reactor with C3F7OCH3, Ar, O2 chemistries. The process consists of two steps of surface modification and removal step. In the first step, SiO2 surface is modified with fluorocarbon polymers generated with C3F7OCH3 having low global warming potential. In the second step the fluorinated oxide is removed with ions or radicals generated from Ar or O2 plasma. Formation of fluorocarbon polymer layer on SiO2 surface was confirmed by SEM and XPS analysis. Cleaning rate was investigated by varying bias voltage and ion density by monitoring the processes with a VI probe and an ion probe. It is also confirmed that the removal rate showed self-limited characteristics as the processing time increases. Removal rate were compared at various conditions of reaction gases and plasma power and the rate could be controlled under 10 Å/cycle.

References

[1] W. S. Kim, W. G. Hwang, I. K. Kim, K. Y. Yun, K. M. Lee, S. K. Chae, Solid State Phenomena, Vols. 103-104, pp. 63-66, 2005

[2] T. H. Kim, J. C. Kim, S. H. Kim, H.Y. Kim, Solid State Phenomena, Vols. 195, pp. 247-251, 2013

[3] Yoshinori Momonoi, Kenetsu Yokogawa, Masaru Izawa, J. Vac. Sci. Technol. B Vols. 22, pp. 268, 2004

[4] Y. C. Hong, H.S. Kim, H. S. Uhm, Thin Solid Films, Vols. 435, pp. 329-334, 2003

ALE-MoP-3 Electrical and Residual Gas Diagnostics of Pulsed Plasma Atomic Layer Etching in Silicon
Gi Chung Kwon, Hee Tae Kwon, Woo Jae Kim, Gi Won Shin, Hwan Hee Lee (Kwangwoon University, Republic of Korea)

As the plasma etching process requires atomic-scale fidelity,ALE(Atomicl Layer Etching) is now emerging. This is because conventional plasma etching causes various types of problems,for example, ARDE(Aspect Ratio Dependent Etch), and the feature size fabricated in the manufactures shrinks rapidly in nanoscale.

ALE has two kinds of method.The first is called as ALE,which is opposite to ALD. The second is called Quasi-ALE. This Quasi-ALE consists of several types,such as chemistry reaction,energy control,and flux control.With these two kinds of ALE method,ALE is steadily developing. By the way,there are not many diagnostics of ALE yet.

Therefore, we did plasma diagnostics qualitatively with introducing Quasi-ALE with energy control. Pulsed Plasma was used for tailoring plasma parameters, in order to achieve atomic layer etching of silicon in CCP (Capacitively Coupled Plasma) reactor. Both chlorine gas and argon gas were injected into the reactor for adsorption and desorption. For plasma diagnostics of ALE in silicon qualitatively, we used electrical probe to figure out low temperature (Te). Also, RGA (Residual Gas Analyzer) was used to check by-products. All experiments were set with proper environment such as adequate pulse frequency and pressure, and so on.

ALE-MoP-4 Spontaneous Etching Behavior of Oxide and Sulfide Underlayers during Atomic Layer Deposition of Cu2-xS using Cu(dmamb)2 and H2S
Jeong Hwan Han (Seoul National University of Science and Technology, Republic of Korea); Raphael Agbenyeke (University of Science and Technology, Republic of Korea)

The rapid diffusivity of Cu+ ions in the hexagonal-close-packed crystal structure of Cu2-xS is a trigger for many interesting and sometimes unexpected observations made during the growth of Cu2-xS films. In this study, we present the etching of oxide and sulfide thin film underlayers during the atomic layer deposition (ALD) of Cu2-xS, which is driven by the high diffusivity of the Cu+ ions. Although the infiltration of the underlayers by Cu+ ions plays an essential role in the etching process, it is suspected that the eventual etching behavior of the underlayer are strongly dependent on the lattice or bond dissociation energy of the underlayer materials. In the experiments, thin films of ZnS, ZnO, SnS, and SnO were etched to different degrees during ALD of Cu2-xS. In contrast, SnO2 exhibited a high resistance to etching. Interestingly, a selective removal of Zn2+ was observed when a ternary Zn1-xSnxO film was used as underlayer. Based on X-ray spectroscopy results, and the results from other supplementary experiments, we proposed a possible reaction mechanism for the etching process. Finally, the etching phenomenon was extended to the synthesis of Cu2-xS nanowires, which have the potential of being used as absorber materials for photovoltaic cells.

ALE-MoP-5 The Phenomenon of Reduction on the Austenitic Stainless Steel Affected Hydrogen Species into the Screen Plasma Technology
Jongdae Shin (Korea Institute of Industrial Technology(KITECH) and Inha University, Republic of Korea); Sanggweon Kim (Korea Institute of Industrial Technology(KITECH), Republic of Korea)

Although austenitic stainless steel has excellent corrosion resistance, it has low applicability due to low hardness. Therefore, various surface hardening treatments have been developed. Especially, plasma nitriding process has been under various researches due to its environment and easy applicability. However, if the oxide film of the material cannot be uniformly removed, it is difficult to secure a uniform nitride layer during the nitriding process. There were discussions about the role of high-energy hydrogen species to understand the principle of oxide film removal which arising form laser ablation, microwave assisted non-thermal hydrogen plasma, and ion implantation technology. Generally, Hα (656.28nm, 1.89eV), which is a hydrogen species generated by glow discharge, is characterized by the fact that the surface reduction of the Austenitic stainless steel becomes very uneven due to its reaction energy. On the other hand, in the case of the plasma by the screen plasma technology, high-energy and high-density hydrogen species can be generated by adjusting the degree of vacuum, current, and gas mixture . Through this, it was judged that intense physical-chemical reaction and a uniform reduction reaction occurs inside the chamber. Hydrogen species due to high energy generation are predicted to be about Hβ (486.1 nm, 2.55 eV), Hγ (434.1 nm, 2.86 eV), which occurs depending on the parameters in the screen plasma apparatus. The state of the hydrogen active species was observed through OES (Optical Emission Spectroscopy). At this time, the state of the energy and the ion density were analyzed through the Langmuir probe to closely diagnose the plasma state. After that, the relevant facts was studied by analysis using contact angle measurement, Auger Electron Spectroscopy, and Glow Discharge-Optical Emission Spectroscopy of the oxidation-reduction on the surface.

ALE-MoP-6 Fabrication of GaSb Mesa Structures by Atomic Layer Etching using Trisdimethylaminoantimony
Katsuhiro Uesugi, Hisashi Fukuda (Muroran Institute of Technology, Japan)

We investigate atomic layer etching (ALE) of GaSb dot structures using trisdimethylaminoantimony (TDMASb) precursor to fabricate GaSb mesa structures. Self-assembled GaSb dots have been grown on GaAs(001) substrates at the temperature of 480-520°C by using metal-organic molecular beam epitaxy (MOMBE). At 480°C, GaSb quantum dots (QDs) with 8 nm-height and 70 nm-width were grown in Stranski Krastanow mode. Then the GaSb QD surfaces were etched by the reaction with TDMASb at the substrate temperature of 480°C. At the initial stage of GaSb QD etching, the dot diameter has not been changed. However, the height reduction of dots was observed with increasing of TDMASb supply. The GaSb QDs after 90 s etching showed the truncated pyramidal shape and the average height and width of them were 4 nm and 70 nm, respectively. All GaSb QDs were etched by the TDMASb supply for 140 s, and the surfaces was changed to atomically flat (1x3) surfaces. This result indicates that the ALE process of GaSb QDs using TDMASb primarily causes the decrease in dot height. The etching reaction was promoted at the top (001) facet in comparison with the other facets of dot side.

The submicron-sized GaSb dots were also grown at 520°C. The height and width of GaSb submicron dots (SDs) were 100 nm and 350 nm, respectively. Self-size-limiting phenomenon of dome-shaped GaSb SDs depend on temperature was clearly observed. After ALE of GaSb SDs, GaSb mesa structures were fabricated by the TDMASb supply for 30 s at 450°C. The GaSb mesa structures were found to be laterally surrounded by (111) and (110) facets together with circular (100) facet at a convex curved region. The diameter of circular (100) facet was 120 nm. These results suggest that the size and facets of GaSb mesa structures can be controlled by using ALE technique with TDMASb.

ALE-MoP-7 Interaction of Hexafluoroacetylacetone (HFAC) Molecules with Nickel or Nickel Oxide Film Surfaces for Atomic Layer Etching (ALE) Applications
Abdulrahman Basher, Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)

Magnetic Random Access Memories (MRAMs) are one of the most promising nonvolatile memories. Manufacturing MRAM devices with nano-scale dimensions is still a challenge due to the difficulties in nano-scale patterning of magnetic tunnel junction (MTJ) stacks which are used in MRAMs to store data [1]. An MTJ stack consists of two ferromagnetic layers separated by a dielectric barrier layer. Therefore, the development of controllable etching processes in the range of nanometer scales is required with high anisotropy and low damage for magnetic materials. Organic etchants may be used to establish low-damage and highly controlled etching processes [2]. Hexafluoroacetylacetone (hfac) has proved its efficiency for the use in dry etching of several materials such as semiconductors, minerals, as well as magnetic materials [1-4]. It has been found experimentally that nickel hexafluoroacetylacetonate Ni(hfac)2 can be formed when gas-phase hfac is exposed to a pre-oxidized nickel (Ni) surface and the surface temperature is increased, which suggests the etching of Ni takes place under such conditions. On the other hand, when a clean Ni metal surface is exposed to hfac, hfac tends to break down and no Ni atom seems to desorb from the surface. The goal of this study is therefore to understand the interaction of an hfac molecule with a Ni or nickel oxide (NiO) surface and to evaluate the feasibility of hfac dry etching processes for metallic Ni and NiO films. In this study, quantum mechanical (QM) numerical simulation analysis is performed with Gaussian 09 to evaluate chemical reactions of hfac with Ni metal and NiO. It has been found that a decomposition of an hfac molecule can be energetically preferred when an hfac molecule is placed on a metalic Ni surface whereas an hfac molecule can be stably adsorbed on a NiO surface.

----------------------------------------

[1] J. K. Chen, T. Kim, N. D. Altieri, E. Chen, and J. P. Chang, " Ion beam assisted organic chemical vapor etch of magnetic thin films", J. Vacuum Sci. & Tech. A35, 031304 (2017).

[2] J. K. Chen, N. D. Altieri, T. Kim, E. Chen, T. Lill, M. Shen, and J. P. Chang, " Direction etch of magnetic and noble metals. II. Organic chemical vapor etch", J. Vacuum Sci. & Tech. A35, 05C305 (2017).

[3] H. L. Nigg and R. I. Masel, " Surface reaction pathways of 1,1,1,5,5,5-hexafluoro-2,4-pentandione on clean and pre-oxidized Ni(110) surface" J. Vacuum Sci. & Tech. A17, 3477 (1999)

[4]S. Kang, H. Kim, and S. Rhee, "Dry etching of copper film with hexafluoroacetylacetone via oxidation process", J. Vacuum Sci. & Tech. B 17, 154 (1999).

ALE-MoP-8 Cost Effective Plasma Generation with High Efficiency and Reliability for Remote Plasma Removal Process: Magnetic Induction Plasma Source
Tae Seung Cho, Soonam Park, Dima Lubomirsky (Applied Materials)

Remote plasma removal process is playing an importance role in material removal with high selectivity in 3D structures of semiconductor. In remote plasma removal process system, the wafer process regime is completely isolated from plasma source by perforated metal plate such as showhead. The charged particles as well as radicals are generated by electrical discharge and only the radicals could pass through the perforated metal plate. In the wafer process regime, certain radical species reacts only with the material to be removed from the wafer while there’s no reactions with any materials on the wafer. Since the charged particles are screened by the plate, the damages by energetic charged particles can drastically be reduced. Therefore, efficient radical generation with higher dissociation rate and less charged particle leakage to wafer process region could dramatically promote the performance of remote plasma removal process.

Magnetic induction plasma concept is being used for lighting bulbs as well as Tokamak fusion reactor for several decades (Fig.1). Especially, the magnetic induction lighting and its driving electronics is being optimized for many years. Since the magnetic induction lighting doesn’t have any electrode inside the bulb there’s no particles from the electrode sputtered by energetic ions. In addition, magnetic induction plasma source as an inductively coupled plasma has higher dissociation rate compared to typical capacitively coupled plasma. Thus, introducing the magnetic induction lighting and its driving technologies to remote plasma removal process would make removal process more efficient and reliable with reduced cost of ownership.

Prototype chamber for magnetic induction plasma source for remote plasma removal process has been simply assembled with standard KF flanges of elbows and crosses that could be brought off the shelf (Fig.2). The commercial electronic ballast for magnetic induction lighting bulb has been used to generate the plasma inside the chamber. The control circuit to make the plasma stable by modulating the power and frequency (0-400Wmax and 200-400kHz) has been added to the ballast. For preliminary study, Ar+N2 plasma has been successfully generated in the chamber by using the ballast with wide operating pressure ranges from 50mTorr to 200Torr (Fig.3). Based on OES study, it has been confirmed that emission intensity from argon increases with increasing power from 47W to 120W (Fig.4) and will be further confirmed that remote plasma removals of material increases with power.

View Supplemental Document (pdf)
ALE-MoP-9 A Study of InGaAs Nanowire Fabrication by Atomic Layer Etching
Doo San Kim, Jin Woo Park, Won Oh Lee, Geun Young Yeom (Sungkyunkwan University (SKKU), Republic of Korea)

Atomic layer etching (ALE) is a next generation etching technique consisting of cyclic removal of monolayer by repeating adsorption and desorption, and it is suitable for nanoscale semiconductor device fabrication due to the layer-by-layer control and minimizing the surface damage of the materials. So far, the ALE methods have been studied by many researchers on various semiconductor materials. Among these materials, III-V semiconductor compounds such as indium gallium arsenide (InGaAs) can be applied to 3-demiensional structure nanodevices such as nanowire field effect transistors due to a great electrical characteristics than silicon-based devices.

In this study, InGaAs nanowire was fabricated with a 50 nm-line patterned polystyrene (PS) as a etch mask which was formed using a nano-imprint lithography (NIL) technique. For InGaAs ALE, chlorine was used as the adsorption gas and, for desorption, a low-energy Ar+ ion beam was used to remove the chlorine chemisorbed InGaAs on the surface. Using an optimized ALE conditions, the InGaAs was etched and the PS mask remained on the InGaAs surface was removed by oxygen-ICP plasmas, and the fabricated InGaAs nanowires were observed by Field emission-secondary electron microscope (FE-SEM). After the fabrication of InGaAs nanowires, the properties of InGaAs nanowires were investigated and compared with those fabricated with conventional reactive ion etching methods.

ALE-MoP-10 Chrome Atomic Layer Etching
Jin Woo Park, Doo San Kim, Won Oh Lee, Geun Young Yeom (Sungkyunkwan University (SKKU), Republic of Korea)

The uniformity of the critical dimension (CD) of the photomask is important for the nanoscale high-intergraded circuits (ICs) for the fabrication of next-generation semiconductor devices. The photomask is generally consisted of quartz, chrome (Cr), and molybdenum silicon oxynitride (MoSi). Depending on the type of photomasks such as standard chrome on glass (COG), opaque MoSi on glass (OMOG), and phase-shifting mask (PSM), Cr is dry-etched and the Cr is used as a hard mask to fabricate the photomask due to the low-etch selectivity between MoSi and quartz. Thus, the dry etch procss of Cr with a low surface damage (such as low LER and low LWR) and high-uniformity plays an important role in determining the CD of the photomask.

Atomic layer etching (ALE) technology can be used as a exquisite process at the atomic level with a high-uniformity and low-defect density of surface regardless of plasma uniformity during the semiconductor manufacturing process, and is attracting attention as an etching technique for next-generation semiconductor fabrication of nanoscale. In this study, the ALE characteristics of Cr have been investigated using O2 or Cl2/O2 for adsorption and Cl2 or Ar ion beam for desorption, respectively, during the etch cycle to control the etch depth precisely and to minimize the surface damages of Cr. Also, the monolayer removel mechanism of Cr was investigated by X-ray Photoelectron Spectroscopy (XPS). By using ALE, the controlled Cr etch depth per cycle and very high-etch selectivity of Cr over various Si-based materials such as silicon, silicon dioxide, and silicon nitride etc. in addition to minimized surface damage could be obtained.

ALE-MoP-11 MoS2 Lateral-Heterojunction Device Using Atomic Layer Etching
Ki Seok Kim, You Jin Ji, Ki Hyun Kim, Geun Young Yeom (Sungkyunkwan University (SKKU), Republic of Korea)

Molybdenum disulfide (MoS2) has been intensively investigated because of its unique properties in various electronic and optoelectronic applications. More specifically, as the layer is reduced from bulk to bilayer and monolayer, the band structure changes from indirect to direct at the K point of the Brillouin zone, and the band-gap also changes from 1.2 to 1.9 eV. Accordingly, the technology involved in controlling the MoS2 layers is very important in various nano-device applications. To control the MoS2 layers, atomic layer etching (ALE) (1st step: radical adsorption / 2nd step: reacted compound desorption) can be a highly effective and precise technique while avoiding damage and contamination that can occur during the etching. In this study, for the MoS2 ALE, the Cl radical is used as the adsorption species and a low-energy Ar+ ion is used as the desorption species. In addition, mono-/bi-layer lateral-heterojunction device was fabricated using ALE technique after PR patterning of bilayer MoS2. The results showed that the photocurrent was increased in heterojunction regions due to the difference in work function and electron affinity between mono-/bi-layer MoS2. The photocurrent is increased because the exciton generated in the monolayer MoS2 is thermally diffused into the bilayer MoS2 region and the additional carrier is generated. Therefore, the lateral-heterojunction 2D device fabricated using ALE technique can be a very important device that can be applicable to next-generation nano-sensor devices.

ALE-MoP-12 Study of Atomic Layer Etching (ALE) of SiO2 Using Inductively Coupled Plasma (ICP) Etch Chambers with Multi-chamber or Multi-step Approach
Shuang Meng, Shawming Ma (Mattson Technology, Inc.)

Atomic layer etching (ALE) of SiO2 has been traditionally done with a fluorocarbon deposition followed by Ar and/or O2 plasma activation per etch cycle. However, the resultant etch per cycle (EPC) and across-wafer uniformity are strongly affected by CFx deposition condition, and to a lesser degree, the plasma activation condition. In this report we studied the impact of CFx gas species (CF4 vs. CHF3), gas composition (Ar/N2/Forming gas), adsorption kinetics (thermal vs. radical), adsorption pressure and temperature, as well as plasma activation conditions (Ar vs. O2) on ALE etch characteristics and across-wafer uniformity using Mattson’s 300mm Aspen III platform. This platform includes two ICP chambers with vacuum transfer capability in-between, one chamber being a ICP plasma asher which can be used for CFx deposition at relatively high temperature and high pressure, and the other one being a low-temperature low-pressure etcher that performs radical and ion bombardment. Alternatively, the CFx deposition can also be performed in the etcher at low temperature and low pressure followed by in-situ plasma activation. This system allows independent controls of CFx deposition conditions and the subsequent plasma activation conditions.

Our results show that SiO2 removal rate and across-wafer uniformity are largely affected by CFx adsorption temperature and pressure as well as mixing gas species, and less dependent on CFx molecule type. Adsorption of CFx at higher temperature and higher pressure gives lower EPC compared to adsorption at low temperature and low pressure. In addition, Ar plasma activation results in higher EPC than O2 plasma activation which has additional effect of removing residue carbon from the oxide surface. Across-wafer uniformity can be effectively tuned by controlling the amount of Ar injected during the CFx deposition steps.

ALE-MoP-13 Tuning Etching Characteristics of Plasma-Assisted ALE of SiO2 via Hydrocarbon Precursors
Ryan Gasvoda (Colorado School of Mines); Scott Wang, Eric Hudson (Lam Research Corp.); Sumit Agarwal (Colorado School of Mines)

Due to ever decreasing device dimensions and the introduction of 3D device architectures, it is challenging to operate within a narrow processing window using conventional plasma etching. One method to address the demands of the next-generation of devices is atomic layer etching (ALE) which provides high fidelity, selectivity, directionality, and layer-by-layer removal. Plasma-assisted ALE has been extensively studied for a variety of materials, including Al2O3, HfO2, Si, and Si‑based dielectrics. Plasma-assisted ALE of SiO2 typically uses two sequential steps in a cyclic fashion: CFx deposition from a C4F8/Ar plasma followed by an Ar plasma activation step. ALE of SiO2 has been demonstrated to remove ~4 Å per cycle. It is proposed that broader control of the surface reactions and etching characteristics can be achieved by introducing an additional reactant.

In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy and in situ four-wavelength ellipsometry during ALE to monitor the surface reactions, film composition, as well as the net film thickness. To controllably alter the EPC, we introduce a hydrocarbon precursor into the reactor prior to the CFx deposition step. This precursor reacts with the surface Si‑OH groups leaving a SiO2 surface terminated with hydrocarbons. An infrared spectrum of the surface shows that the hydrocarbon precursor is resistant to the C4F8/Ar plasma. After the Ar plasma activation step, a broad increase from ~1230 – 1800 cm‑1 indicates that a graphitic hydrofluorocarbon film accumulates on the surface. This graphitic hydrofluorocarbon film prevents the complete activation of the CFx film during the Ar plasma step, thus resulting in a lower EPC than the baseline ALE process. This modulation of etch properties may have applications for controlling etch selectivity.

The hydrocarbon precursor consists of two different groups: the hydrocarbon backbone and reactive head group. Changing the reactive head group leads to different surface coverage on the Si‑OH terminated SiO2 film and thus a different EPC. In this poster, two different classes of hydrocarbon precursors (aminosilanes and acid chlorides) are screened for surface coverage, EPC reduction, and surface composition after the Ar plasma activation step. The length and structure of the hydrocarbon chain are also discussed.

ALE-MoP-14 Modeling and Simulation of in-situ Cleaning Sequence Using NF3/NH3 Remote Plasma
Romel Hidayat, Tirta Rona Mayangsari, Jiyeon Gu, Hye-Lee Kim (Sejong University, Republic of Korea); Young-Kyun Kwon (Kyung Hee University, Republic of Korea); Jongwan Jung, Won-Jun Lee (Sejong University, Republic of Korea)

In semiconductor manufacturing processing, the removal of native oxide on the silicon surface is one of the critical issues to obtain low contact resistance. Although wet cleaning process using a dilute HF solution is the most widely used method for oxide removal, it cannot completely prevent the formation of native oxides because the wafer is exposed to air before being loaded into the selective epitaxial growth chamber or metal deposition system. SiconiTM cleaning process based on NF3/NH3 remote plasma was successfully employed as pre-Ni Silicide cleaning at 65 nm and showed lower junction leakage [1]. This process is composed of two steps, the fluorination of silicon oxide by a remote plasma of NH3/NF3 at near room temperature and the sublimation of fluorinated layer by annealing at 180°C. Understanding the detailed reaction mechanism underlying the cleaning process will lay a foundation for developing new atomic layer cleaning processes. The reaction mechanism was proposed [1], however, more experimental evidences are needed, and more detailed mechanisms have not yet been elucidated. In this study, we modeled and simulated the SiconiTM process by density functional theory (DFT) and molecular dynamics (MD) calculations. The fluorination of oxide was simulated by introducing HF and NH4F on OH- or F-terminated SiO2 surfaces by DFT, and the sublimation process was simulated by MD-annealing. We found the fluorination process is limited by forming the SiF6(NH4)2 salt on the surface, showing that NH4F is the key molecule for the in-situ cleaning process.

Keyword: simulation, remote plasma, siconi, density functional theory, molecular dynamic

[1] P. Yang et al, J. Vac. Sci. Technol. B 28, 56 (2010).

ALE-MoP-15 Biological Characteristics and Antibacterial Performance of Tantalum Oxide and Zinc Oxide Thin Films
Yin-Yu Chang (National Formosa University, Republic of China); Heng-Li Huang (China Medical University, Republic of China); Ming-Tzu Tsai (Hungkuang University, Republic of China); Yi-Jou Lin (National Formosa University, Republic of China)

The surface modification of biomaterials with better biocompatibility and antibacterial feature has been a challenge for many years. Tantalum oxide (Ta1-xOx) and zinc oxide (ZnO) thin films have been used as the material of orthodontic and dental implants due to their impressive biocompatibility, corrosive resistance, and antibacterial activity on the microorganisms. Among the surface engineering techniques, atomic layer etching (ALE) and plasma electrolytic oxidation (PEO) are rising technologies which can produce unique surface for cell adhesion and improve the biocompatibility for further implantation. In previous studies, thin films of Ta2O5 and ZnO have been found to show both the antibacterial ability and biocompatibility in vitro. In this study, a pre-treatment of surface modification on titanium (Ti) substrates has been made by ALE and PEO. Porous oxide layers formed, and a series of Ta, ZnO, and Ta2O5 and ZnO films were then deposited onto the porous surface of Ti substrates by using a twin-gun high power impulse magnetron sputtering system. The surface morphology, crystal structure, and bonding state of the films were identified by scanning electron microscopy (SEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). To evaluate the antibacterial ability, Staphylococcus aureus (S. a., Gram-positive bacteria) and Actinobacillus actinomycetemcomitans (A. a., Gram-negative bacteria) were tested. S. a. and A. a. were the main origins of infection mostly occurred in clinical orthopedic/oral implants, and both of these two types of bacteria were tested by a fluorescence staining method (Syto9) and bacterial viability agar tests. The in vitro cytotoxicity was tested followed by the standard of ISO 10995-5:2009. Cell viability and proliferation of human osteosarcoma cell line (MG-63) and human skin fibroblast (SKF) cells cultured on these films were also determined by using the MTT assay. The result showed that an appropriate ALE/PEO pre-treated Ti with Ta2O5 and ZnO thin films improved both the antibacterial ability to A. a. and S. a. bacteria and biocompatibility to SKF and MG-63 cells in vitro.

ALE-MoP-16 In situ Quantitative Analysis of Chlorine Adsorption on Ion-irradiated GaN for Atomic Layer Etching
Masaki Hasegawa, Takayoshi Tsutsumi, Hiroki Kondo, Kenji Ishikawa, Masaru Hori (Nagoya University, Japan)

Gallium nitride (GaN) is a candidate material for fabrication of the next-generation power electronic devices. To realize the GaN devices, atomic level control of etching of GaN is necessary. Thus, atomic layer etching (ALE) technique of GaN was suggested by cyclic processes of Ar ion exposure and Cl adsorption onto GaN. For precise control, we issues on variations of atomic level removal of GaN-Cl by-products, that is, the ion bombarded GaN surface varies on both Ar ion-energy and Cl-flux for the adsorption. Therefore, we are focusing on Ar and Cl reactions with GaN and have elucidated Cl adsorption on the Ar ion bombarded GaN surface using the beam experiments with in situ X-ray photoelectron spectroscopy (XPS), as developed previously.[1,2]

Sample is the GaN grown on sapphire by Hydride Vapor Phase Epitaxy (HVPE) method. After wet cleaning (5% HF), native oxide on GaN surface was removed by Ar ion sputter. Subsequently, Cl radicals generated in Cl2 gas (flow rate 0.5 sccm) plasma by application of RF power of 400 W were exposed to the sample surface with a dosage of 1019 cm−2. Sequentially, Ar ions generated in Ar gas (flow rate 1.0 sccm) plasma by application of RF power of 400 W were exposed to the sample surface with accelerating voltage of 200 V and a dosage of 1016 cm−2. At each treatment, we observed the sample surface by in situ XPS method.

After GaN surface was irradiated by Ar ions with accelerating voltage of 200 V and a dosage of 2×1016 cm−2, the surface was formed by a Ga rich layer with a thickness of approximately 0.8 nm stacked on a 0.2-nm-thick amorphous layer (a-GaN). After Cl radicals were exposed with a dosage of the order of 1019 cm−2, the Ga rich layer was removed selectively and a mixing layer of Ga-N-Cl was formed with almost similar thickness of 0.8 nm in the a-GaN layer. For the ALE of GaN, parameters such as ion energy, etchant fluxes, and surface reactions play an important role for atomic control of stoichiometric removal of GaN.

Acknowledgement the authors thank to Mr. A. Tanide of the SCREEN holding Co., Ltd. for fruitful discussion.

[1] T. Takeuchi et al., J. Phys. D: Appl. Phys. 46, 102001 (2013).

[2] Y. Zhang et al., J. Vac. Sci. Technol. A 35, 060606 (2017).

Session Abstract Book
(365KB, May 5, 2020)
Time Period MoP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2018 Schedule