ALD2017 Session ALE+AF-MoA: Atomic Layer Etching Session V (1:30-3:30 pm)/ALD Fundamentals: Process Development (4:00-5:45 pm)

Monday, July 17, 2017 1:30 PM in Room Plaza D

Monday Afternoon

Session Abstract Book
(341KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
1:30 PM ALE+AF-MoA-1 In situ Spectroscopic Methods for Atomic Layer Etching and Atomic Layer Deposition
Yves Chabal, Joseph Klesko, Aaron Dangerfield, Jean-Francois Veyan (University of Texas at Dallas)

As a relatively new technique, Atomic Layer Etching requires a fundamental understanding of the surface chemical processes that govern its operation. Most reported ALE processes are based on halogenation reactions followed by ion or noble gas atom bombardment, but there have recently been promising thermal ALE developments based on sequential fluorination and ligand exchange reactions. In all cases, knowledge of the fluorinated surface species and resulting surface composition after bombardment or exchange reactions is helpful to derive a mechanistic understanding of the surfaces. Such knowledge requires in situ characterization, in particular chemical bonding information that can be derived from vibrational spectroscopy.

We have developed reactors that can be used both for ALD and ALE, in which in situ IR spectroscopy is performed either in transmission for semiconductor substrates or reflection for metaling substrates, to examine gas phase or plasma-induced processes. We have also developed an ultra-high vacuum cluster tool in which IR spectroscopy, X-ray photoemission and Low Energy Ion Scattering can be performed on substrate subjected either to gas phase exposures or plasma treatment (remote plasma). Examples will be presented, taken mostly from ALD studies, which illustrate how mechanistic information can be derived from in situ IR spectroscopy, including the interaction of plasmas with oxides, nitrides and metal alloy films and the role of TMA in either stabilizing interfaces or enhancing surface reactions in ALD processes.

A schematic drawing of UHV cluster tool with in situ IR spectroscopy, XPS and LEIS is shown below.

View Supplemental Document (pdf)
2:00 PM ALE+AF-MoA-3 An in situ Optical Diagnostic Study of the Process Conditions that Affect the Etch per Cycle in ALE of SiO2
Sumit Agarwal, Ryan Gasvoda, Noemi Leick (Colorado School of Mines); Alex van de Steeg (Eindhoven University of Technology, Netherlands); Rafaiel Ovanesyan, Jeffrey Klein (Colorado School of Mines); Ranadeep Bhowmick, Eric Hudson (Lam Research Corp.)

With the advent of 3-D architectures in semiconductor devices combined with shrinking device dimensions, precise patterning requirements pose new challenges for conventional plasma etching. One recently proposed technique to address the limitations of continuous plasma etching is atomic layer etching (ALE), which can simultaneously enable directional control, etch selectivity, and atomic-level removal rates. ALE has been extensively studied for a variety of materials including Al2O3, HfO2, Si, and silicon-based dielectrics. In this study, we have explored the atomistic-level details of an ALE process for SiO2 based on a CFx deposition step using a C4F8/Ar plasma, which is followed by an ion-assisted Ar plasma activation step to release the fluorine in the CFx film for SiO2 etching.

Specifically, we used in situ attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and in situ four-wavelength ellipsometry during ALE of SiO2 to monitor the surface composition, and the net SiO2 and CFx film thickness, respectively. Infrared spectra recorded after the CFx deposition half-cycle (spectrum in blue in Fig. 1) predominantly shows an increase in CFx (x = 1, 2, 3) stretching vibrations at ~1230 cm ­­‑1, but it also shows very weak C-O-Si and SiFx (x = 1, 2, 3) stretching vibrations at ~1110 and ~970 – 1000 cm-1, respectively. Given that the latter two vibrational bands appear as weak features, this indicate that a very thin mixing layer is formed at the SiO2-CFx interface compared to continuous etching of SiO2.

After exposing the CFx film on SiO2 to an Ar plasma for 10 s, the spectrum in dark green in Fig. 1 clearly shows the simultaneous removal of SiO2 and CFx during Ar+ activation. Further exposure to Ar plasma continues the etch of SiO2 until the CFx layer is completely removed as seen in the next four spectra. The last 10 s of Ar plasma exposure (red spectrum in Fig. 1) shows continued SiO2 etching even after the removal of CFx layer, which indicates that there is an additional source of etchant in the reactor. Sequential ALE cycles also show an increase in the etch per cycle as a function of cycle number (Fig. 2). This increase occurs even though the infrared spectra confirm that the deposition step remains reproducible from cycle to cycle as also seen in the inset in Fig. 2. Therefore, the increase in the etch per cycle occurs due to the Arplasma half-cycle as Ar+ liberate CFx radicals from the reactor walls that participate in the etching process. This chamber wall effect manifests as an increase in the etch per cycle since CFx film accumulates on the chamber walls and, therefore, more F is available for etching in later cycles. View Supplemental Document (pdf)
2:15 PM ALE+AF-MoA-4 New Innovative Etching Approaches for Future Generation by Controlling the Surface Reaction at Atomic-Level
Masanobu Honda, Takayuki Katsunuma (Tokyo Electron Miyagi Ltd., Japan)

A new technology has been developed using the Atomic Layer Etching (ALE) and Atomic Layer Deposition (ALD) concepts. It has been applied to self-aligned contacts (SAC) and patterning processes, for the sub 7nm technology generation.

In the SAC process, ultra-high selectivity of SiO2 etching towards SiN is required, for which we have developed Quasi-ALE technique for SiO2 etching. We were able to significantly improve the trade-off between the etching ability of SiO2 on the micro slit portions and SiN selectivity. Quasi-ALE precisely controls the reaction layer thickness of the surface, by controlling the radical flux and ion flux independently, and hence enables etching at lower ion energies.

On the other hand, in the patterning processes, the shrinking of critical dimensions (CD) without loading is mandatory. Therefore, we developed a new process flow that combines ALD technique and etching. With this method, we were able to achieve CD shrinking at atomic-layer level precision for various patterns, without causing CD loading. In addition, we were also able to uniformly control the CD shrinkage amount across the whole wafer. This is because this technique takes advantage of the deposition step which is independent of the pattern density and the location on the wafer by self-limited reactions.

As discussed earlier, the fine processing technology will become more important for future generation. In recent years, to meet the highly complex requirements imposed by device fabrication processes, alternative process was developed for thin layer etching [1]. We have made several improvements on this new approach and applied it to various etching processes [2]. In the presentation, the newly improved approach will also be introduced in addition to Quasi-ALE and CD shrinking technique without causing CD loading.

1. N Posseme, O Pollet and S Barnola 2014 Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium Applied Physics Letters105 051605

2. Sonam D Sherpa and Alok Ranjan 2017 Quasi-atomic layer etching of silicon nitride J. Vac. Sci. Technol. A 35 01A102

2:45 PM ALE+AF-MoA-6 Controlled Layer-by-Layer Etching of ALD Grown Ta2O5 Thin Films
Anil Mane, Jeffrey Elam (Argonne National Laboratory)

Precisely controlled layer-by-layer etching processes for metal oxide films are required to enable the fabrication of 3D-microelectronic devices such as semiconductor memories, logic, and MEMS. Ta2O5 is a high-k dielectric and is useful in flash memory as well as resistive random access memory (RRAM) devices. Here we developed a precisely controlled layer-by-layer etching process for Ta2O5 thin films using alternating exposures to MoF6 and H2O vapors. For example, etching of ALD Ta2O5 thin films deposited using either TaCl5 or TaF5 and H2O can be perform in either a one-step, chemical vapor etching manner (CVEt) or in a layer-by-layer self-limiting controlled manner (ALEt). We used in-situ quartz crystal microbalance (QCM) measurements to monitor the deposition and etching of the Ta2O5 layers (Figure 1). Next, the etched Ta2O5 thin films were analyzed by spectroscopic ellipsometry to determine the thickness and refractive index. These ex-situ measurements confirmed the findings from our in-situ QCM studies. Here we will discuss the details of the self-limiting ALD growth and etching of Ta2O5 Thin films.

View Supplemental Document (pdf)
3:00 PM ALE+AF-MoA-7 Atomic Layer Etching Mechanism of 2D MoS2 Layers
Ki Seok Kim, Ki Hyun Kim, You Jin Ji, Geun Young Yeom (Sung Kyun Kwan University, Republic of Korea)

The single MoS2 monolayer has a S(top)-Mo-S(bottom) crystal structure that is consisted of a three-atom-thick covalent bonds between Mo and S atoms; thereby, the ALE mechanism using Cl as the adsorption species and Ar+-ion as the desorption species can be quite different from other two-dimensional (2-D) materials with one-atom-thick materials such as graphene. We have investigated that the MoS2 ALE mechanism from bilayer MoS2 to monolayer MoS2 using controlled Cl radical adsorption and Ar+-ion desorption as a function of Ar+-ion desorption time with a monoenergetic Ar+-ion energy of 20 eV. The result showed that Cl atoms are adsorbed on top S atoms and trapped between top S atoms and Mo atoms by van der Waals force during the Cl radical adsorption. The reults also showed that the monolayer MoS2 is sequentially removed from the top S atoms by S-Cl, Mo by Mo-Cl, and bottom S atoms by selective sputtering. XPS data showed no change of chemical composition and no structural damage on the exposed 2nd MoS2 layer after one-cycle ALE. In addition, the MoS2 FET fabricated with the monolayer MoS2 obtained after the one-cycle MoS2 ALE of a bilayer MoS2 exhibited the similar electrical charteristics as those fabricated with a pristine monolayer MoS2, therefore, nearlly no electrical damage on the MoS2 layer was ocurred by the MoS2 ALE process. It is believed that the ALE technique used in the experiment can be applicable to all the layered TMD materials including MoS2 for next generation nano-devices.

3:15 PM ALE+AF-MoA-8 ALD & Quasi-ALE Patterning Application in EUV Contact Etch
Hongyun Cottle, David O’Meara, Andrew Metz (Tokyo Electron Limited); Peter Biolsi (TEL Technology Center, America, LLC); Satoru Nakamura, Timothy Yang (Tokyo Electron Limited); Masanobu Honda (Tokyo Electron Miyagi Ltd., Japan); Shinya Morikita (Tokyo Electron Limited)

Continued pitch scaling of semiconductor devices to 7nm node and beyond utilizing conventional 193i based multiple patterning techniques is rapidly driving up cost, complexity, and variability control. EUV patterning can be used to mitigate or delay the challenges of pitch scaling through multiple patterning, but introduces new challenges of its own. EUV lithography introduces new types of resists that are thinner and less etch resistant compared to conventional 193nm resists. Interactions of polymers with plasma etch environments can lead to large changes of the polymer material properties and the three-dimensional nanostructures they pattern. Mask deformation during such etch process can lead to changes in nanoscale topography of device features, often with undesirable consequences, such as increased LER and LWR, tip-to-tip degradation, and line wiggling. Plasma etch faces a significant challenge to optimize its process window to enable high yields with EUV patterning.

This paper presents the synergetic combination of ALD & Q-ALE in EUV contact mask etch to overcome the above-mentioned EUV lithography changelings. ALD application at mask open level improves incoming LWR/LER and defines what can be transferred to final etch product. Q-ALE mask open improves EUV photoresist etch selectivity by greater than three-fold while maintaining critical feature dimensions, such as elliptical contact minor vs major axis CD ratio. By utilizing a direct current superposition (DCS) technology, EUV photoresist can also be treated to improve not only its etch resistant, but also LER and LWR. These unique processes (ALD vs. Q-ALE vs. DCS) can be applied independently or in combination utilizing Tokyo Electron advanced etchers. Through their accumulative effects, these processes offer a wide range of etch capabilities to enable EUV lithography to 7nm and beyond.

Reported is the structural characterization pre and post-etch detailing LER and LWR improvement, and shrink ratio control. In addition, a mechanistic model will be proposed based on thin film compositional analysis and process trend data.

3:30 PM Coffe Break & Exhibits
4:00 PM ALE+AF-MoA-11 Boron Nitride Growth at Room Temperature Using Electron Enhanced Atomic Layer Deposition (EE-ALD)
Jaclyn Sprenger, Huaxing Sun, Andrew Cavanagh, Steven M. George (University of Colorado - Boulder)

Electron-enhanced atomic layer deposition (EE-ALD) can drastically reduce the temperatures required for film growth. This temperature reduction occurs because electrons can desorb surface species by electron stimulated desorption (ESD) to create very reactive “dangling bonds”. Precursors can then adsorb efficiently on the dangling bonds. EE-ALD lowers the thermal budget and enables the deposition of thin films on thermally sensitive substrates. EE-ALD has been demonstrated previously for the deposition of polycrystalline GaN [1] and amorphous Si [2] at room temperature.

BN film growth by EE-ALD was performed at room temperature on Si (111) substrates using alternating doses of borazine (B3N3H6) and low-energy electrons. Borazine is a single-source precursor for BN deposition. In situ ellipsometry was performed during the BN EE-ALD. These ellipsometry measurements yielded a linear growth rate of ~0.45 Å/cycle for electron energies of 100 eV with an electron current of 100 μA for 60 s. This in situ growth rate was confirmed by ex situ spectroscopic ellipsometry. A BN film with a thickness of 90 nm was deposited after 2000 EE-ALD cycles (see supplemental Figure 1).

Film composition was studied with ex situ XPS (see supplemental Figure 2). The BN composition is consistent throughout the film with a B/N ratio of 1.3/1. The films are pure with C and O concentrations of only <3 at.% in the bulk of the film. A thin, self-passivating surface oxide resulting from atmospheric exposure is present. In addition, ex situ FTIR transmission was performed on the BN films. These FTIR measurements yielded an absorption peak at ~1370 cm-1 that is consistent with hexagonal BN.

Doubling the electron emission current used for BN film growth from 100 μA to 200 μA with exposure times of 60 s yielded slightly lower film growth rates. However, these films had a higher measured index of refraction. This suggests that an electron current of 100 μA may be sufficient to remove all the surface hydrogen in the electron beam. The fairly flat BN thickness spatial profiles are also consistent with self-limiting hydrogen desorption. The higher electron current of 200 μA for 60 s does appear to increase the film density.

[1] J.K. Sprenger, A.S. Cavanagh, H. Sun, K.J. Wahl, A. Roshko and S.M. George, “Electron Enhanced Growth of Crystalline Gallium Nitride Thin Films at Room Temperature and 100°C Using Sequential Surface Reactions”, Chem. Mater. 28, 5282 (2016).

[2] J.K. Sprenger, A.S. Cavanagh, H. Sun and S.M. George, “Electron Enhanced Atomic Layer Deposition (EE-ALD) of Silicon Films at Room Temperature”, Presentation at ALD2016 in Dublin, Ireland.

View Supplemental Document (pdf)
4:15 PM ALE+AF-MoA-12 Catalyzed Atomic Layer Deposition of Silicon Oxide at Ultra-low Temperature using Alkylamines
Tirta Rona Mayangsari, Jae-Min Park, Luchana Yusup, Jiyeon Gu (Sejong University, Republic of Korea); Jin-Hyuk Yoo, Heon-Do Kim (JUSUNG Engineering, Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

The dielectric spacer in multipatterning process such as self-aligned double patterning (SADP) or self-aligned quadruple patterning (SAQP) has been adopted to increase the density of line pattern with only single exposure. Deposition of the dielectric spacer directly on the photoresist patterns instead of the hardmask patterns would reduce several process steps. For this purpose, ultra-low temperature deposition of the spacer film is highly required to avoid the distortion of the underlaying photoresist patterns. Atomic layer deposition (ALD) processes of SiO2 dielectric thin film at ultra-low temperature have been studied by using pyridine catalyst [1] or O2 plasma [2]. Catalyzed ALD of SiO2 is especially suitable for the cost-effective SADP or SAQP process flow, because it can avoid the damages of the underlying photoresist patterns by O2 plasma. However, in the catalyzed ALD process using pyridine, the generation of salts is still an issue as a result of reaction between the catalyst and ALD reaction byproducts, HCl [3]. To resolve this issue, catalyst having less reactivity with HCl is needed. In the present study, we simulated the reaction of various catalysts with HCl as well as the interaction between catalysts and precursors by density functional theory (DFT) calculation.

Lewis-base amine catalysts with different alkyl ligand and hydrogen concentration were studied, and silicon chlorides with different numbers of silicon and chlorine atoms were investigated. The length and the energy of hydrogen bond between catalyst and water molecule were calculated for each catalysts to expect the catalytic activity, and the energies of the formation and desorption of the catalyst-HCl salt were also calculated. The effects of molecular structures of catalysts and silicon precursors on the catalytic activity and the salt formation were confirmed by in-situ FTIR analysis. Finally, the growth rate, the chemical composition, and the step coverage of the deposited films were analyzed for different combinations of catalyst and silicon precursor.

[1] J.W. Klaus et al, Science, 287 (1997) 1934.

[2] G. Dingemans et al, ECS Transactions, 35 (2011) 191.

[3] Y. Du et al, J. Phys. Chem. C, 111 (2007) 219.

4:30 PM ALE+AF-MoA-13 Low Resistance ALD TiN from Low Temperature Thermal N2H4 + TiCl4
Steven Wolf, Mahmut Kavrik, Jun Park (University of California San Diego); Russell Holmes, Daniel Alvarez, Jeffrey Spiegelman (RASIRC); Andrew Kummel (University of California San Diego)

Titanium nitride (TiN) has been extensively studied in semiconductor devices because of its ideal thermal, mechanical, and electrical properties and its ability to act as a metal diffusion barrier [1]. ALD TiN has previously been performed using a wide range of Ti precursors including halides (i.e. TiI4, TiCl4) and metal organics (i.e. TDMAT, TEMAT), as well as nitrogen sources (thermal/plasma NH3, N2/H2, etc). Metal halide precursors are preferred over metal organic grown films that typically contain high levels of carbon and oxygen contamination; this contamination has been correlated with an increase in TiN film resistivity [2]. Plasma enhanced-ALD TiN has been shown to achieve optimal growth rates with lower contamination at temperatures below 350°C, but the film and underlying substrate can suffer from plasma induced damaged [1,3]. In this study, low temperature thermal ALD TiN from anhydrous N2H4 and TiCl4 was performed on a SiOxNy substrate, and the deposited films were studied using x-ray photoelectron spectroscopy (XPS), scanning tunneling microscopy (STM), and atomic force microscopy (AFM). In addition, the resistivity of thin TiN films was measured using a modified four-point probe measurement, in which 30nm thick Ni dots with 150μm diameter and 250μm spacing were deposited on top of ALD TiN films.

SiOxNy substrates underwent an ex-situ degrease procedure with acetone, methanol and water before being loaded into vacuum. A 275°C UHV anneal was performed to remove surface hydrocarbon. Subsequent cycles of TiN ALD at temperatures between 275°C and 350°C were performed resulting in an estimated 3nm thick film with a Ti/N ratio of ~3/4 with chlorine comprising ~10% in the normalized XPS spectrum. Uniform deposition with subnanometer RMS roughness was seen from STM and AFM measurements. After depositing Ni dots and performing a modified four-point probe measurement, film resistivity was estimated at ~176 μΩ-cm. In conclusion, the thermal low-temperature TiN ALD using anhydrous N2H4 and TiCl4 was performed and the subsequent low impurity TiN film’s chemical, topographical and electrical properties were characterized.

[1] Elers, K‐E., et al. "Diffusion barrier deposition on a copper surface by atomic layer deposition." Chemical Vapor Deposition 8.4 (2002): 149-153.

[2] Musschoot, Jan, et al. "Atomic layer deposition of titanium nitride from TDMAT precursor." Microelectronic Engineering 86.1 (2009): 72-77.

[3] Suehle, J. S., et al. "Challenges of high-k gate dielectrics for future MOS devices." Plasma-and Process-Induced Damage, 2001 6th International Symposium on. IEEE, 2001.

View Supplemental Document (pdf)
4:45 PM ALE+AF-MoA-14 Study of the Isotropic Behavior of AZO Conductivity Deposited by Atomic Layer Deposition - Effect of Film Thickness
Benoit Dugrenil (Microoled - CEA Leti, France); Sébastien Guillamet, Michael Thomschke (Microoled Company); Myriam Tournaire, Bernard Aventurier, Laurent Mollard, Tony Maindron (CEA-Leti, France)

Keywords: ALD, TCO, transparent electrode, OLED, anisotropic conductivity

Al-doped ZnO (AZO) is one of the most recently studied Transparent Conductive Oxides (TCO), especially because of its numerous attractive properties as electrode in OLED, OPV or OTFT. Atomic Layer Deposition (ALD) allows a precise control of AZO performances versus deposition temperature, doping ratio and thickness. Conventional AZO measurements using four-point probe setup or Hall effect (fig 1 a) are mainly representative of the combination of vertical and lateral conductivity of the film. However, when those TCO have to be integrated into an OLED stack as transparent electrode, carrier injection is made in the vertical direction (fig 1 b). We believed that AZO is an anisotropic material, particularly because of its growth as nanolaminate, i.e. alternating ZnO bulk layers with AlxOy interlayers in ALD mode.

In this work, we want to study the anisotropy of the electrical conductivity of AZO films, as depicted in figure 1 a and 1 b. Furthermore, as the AZO film thickness impacts the electro-optical characteristics, its influence on the vertical conductivity needs to be highlighted.

We firstly investigated the major differences between very thin films (approximately 10 nm), and thicker AZO (60 nm, 110 nm) deposited on 200 mm silicon and silicon oxide wafers, respecting exactly the same growth conditions. The resistivity decreases from ~ 100 mΩ.cm for very thin films to 3 mΩ.cm when the thickness is increased above a hundred of nanometers. Identical variations have been measured for the square resistance and are consistent with other studies [1]. These trends are known and can be attributed to the growth parameters like preferential orientation, nucleation delay and ionized impurities scattering [2, 3].

In a second step, the same AZO recipes were deposited onto structured TiN layers, where the TCO films can be evaluated in the OLED configuration, as an anode (fig 1 b). In order to correlate the AZO behavior in both configurations and function of the deposited thicknesses, attention will be carried out on crystalline profile using XRD measurements and onto electro-optical characteristics of the OLED.

[1] Pollock, E. B et al. Journal of Vacuum Science & Technology A 32, 041516 (2014). doi: 10.1116/1.4885063

[2] Luka, G.et al. Journal of Materials, 1810-1815 (2011). doi: 10.1007/s10854-011-0367-0

[3] Mundle, R., Pradhan, A. K. Journal of Applied Physics, 115, 183503 (2014).doi:10.1063/1.4875536.

View Supplemental Document (pdf)
5:00 PM ALE+AF-MoA-15 Growth Behaviour and Stability of Atomic Layer Deposited MoO3 by Mo(CO)6 and H2O/O3 Precursors
Perttu Sippola (Aalto University, Finland); Zhen Zhu (Beneq Oy); Timo Sajavaara (University of Jyväskylä, Finland); Harri Lipsanen (Aalto University, Finland)

Molybdenum trioxide has been shown to be a lucrative material e.g., for catalysis [1] and electrochromic applications [2]. This applicability can be credited to the multitude of oxidation states and polymorphs of the compound. Despite MoO3 complex chemistry, ALD presents a promising and efficient way of growing amorphous MoO3 thin films. Still, mainly the in-situ growth and annealing behavior has been studied. [3] Therefore, we present a growth, structure and chemical analysis of as-deposited MoO3 ALD thin films.

MoO3 thin films were deposited on silicon with Beneq TFS-500 using Mo(CO)6 and H2O/O3. The effect of precursor doses to the growth behavior and physical properties was studied immediately after the ALD with ellipsometry and later with XRR. Moreover, chemical and elemental analysis with ATR-FTIR and ToF-ERDA, respectively, were performed.

With ellipsometry, the ALD-window of the process was determined to be approximately in the range of 165-175 °C. Thus, deposition temperature of 170 °C was selected. The pulse time saturation of 55 °C heated Mo(CO)6 was studied to take place around 2 s. The overlapping H2O/O3 pulsing time ratio in seconds was varied from 0/2-3/2. The O3-only precursor yielded GPC of 0.65 Å/cycle and for the H2O added pulses GPC was 0.75 Å/cycle. The ATR-FTIR spectra showed that unlike the other samples, the 2/2 mixture did not had an emphasized band at ~3650 cm-1 which is usually attributed to the presence of –OH groups.

The further studies suggest that prolonged storage of the samples in ambient conditions produced structurally differing surface layer on top of the original MoO3 thin films. The XRR analysis showed a surface layer of 6 nm with a density of 2.8 g/cm3 on average while the underneath MoO3 layer showed density of 4.3 g/cm3. Also, the refractive index value reduced on average from 2.03 to 1.90 between the fresh and aged samples. Moreover, the ToF-ERDA elemental depth profile results revealed that the C (2.5 at.%), H (14 at.%) and N (3.1 at.%) impurities are concentrated to the surface in the thin films. Still, the average O/Mo ratio was 3.1, being very close to stoichiometric.

Due to the presence of the parasitic surface layer, valid differences on the structural and elemental constitution of the different samples could not be identified. Therefore, this research concludes that the Mo(CO)6 and H2O/O3 ALD thin films are not chemically stable in ambient conditions without further in-line-processing such as surface passivation.

[1] K. V.R. Chary, et al., J. Catal. (2004) 226, 2

[2] T. Ivanova, et al., Mat. Sci. Eng B Solid (2005) 119, 3

[3] M. Diskus, et al., J. Mater. Chem. (2011) 21, 3

View Supplemental Document (pdf)

5:15 PM ALE+AF-MoA-16 Characterization of Al2O3 and HfO2 Grown on Metal Surfaces with Thermal and Plasma Enhanced Atomic Layer Deposition
Haiping Zhou, Yen-Chun Fu, Muhammad Mirza (University of Glasgow, UK)

Atomic layer deposition (ALD) is potentially a very suitable deposition technology to grow ultra thin films with excellent thickness control, good conformity on high aspect ratio structures, and less defects. Al2O3 and HfO2 are well-established high-k materials to replace SiO2 in transistor and capacitor applications. To grow high quality ALD films with low leakage current, high breakdown electric field and dielectric constant, it is important to understand the impact of both plasma enhanced ALD (PEALD) with O2-plasma and thermal ALD with H2O on the interface between ALD film and substrate surface, and also the impact of the interface on the quality of ALD films.

We present the electrical and chemical characterizations of Al2O3 and HfO2 films directly grown on Au, Ti and TiN surfaces. Metal Insulator Metal (MIM) capacitors with 10nm of Al2O3 or 10nm of HfO2 as a dielectric layer were realized. Our results show that (1) the Al2O3 film grown by TMA metallic precursor and O2-plasma has the highest breakdown electric field of 6.74 MV/cm, and the lowest leakage current of 8.6e-8 A/cm2 at 2 MV/cm, which indicate that this 10nm of Al2O3 layer is high quality dielectric film with low pin-hole density and defects; (2) the HfO2 film grown by TEMAH metallic precursor and H2O has the lowest breakdown electric field of 3.95 MV/cm, and the highest leakage current of 7.6e-7 A/cm2 at 2 MV/cm, which indicate that this 10nm of HfO2 film has more pin-holes and defects; (3) the Al2O3 film grown by TMA and H2O, and the HfO2 film grown by TEMAH and O2-plasma have the breakdown electric fields of 6.56 and 4.28 MV/cm, and the leakage currents of 2.40e-7 and 2.33e-7 A/cm2 at 2 MV/cm, respectively; (4) both the Al2O3 and HfO2 films grown by the PEALD with O2-plasma show better qualities than that grown by the thermal ALD with H2O; (5) the Al2O3 and HfO2 with O2-plasma processes do not show plasma-induced damage based on the tests of Van der Pauw (VdP) samples made from InGaAs-InAlAs layer structure with channels buried ~ 30 nm from the surface.

To further investigate, understand and optimize the ALD processes, Auger energy spectroscopy (AES) and high-resolution scanning Auger microscope (SAM) are used to analyze the chemical compositions and distributions in the interface between the ALD films (Al2O3 and HfO2 grown with O2-plasma and H2O) and the metal surfaces (Au, Ti and TiN) without exposing the ALD films to air.

Session Abstract Book
(341KB, May 5, 2020)
Time Period MoA Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule