ALD2017 Session AF+AA-MoM: ALD Fundamentals: Plasma ALD (8:00-10:00 am)/Emerging Applications (10:45 am-12:00 pm)

Monday, July 17, 2017 8:00 AM in Room Plaza F

Monday Morning

Session Abstract Book
(344KB, May 5, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
8:00 AM AF+AA-MoM-1 ALD - From Ideal to Real
Ola Nilsen (University of Oslo, Norway)

Atomic layer deposition (ALD) is mostly known as a technique that produces perfect films with atomic resolution on large substrates with complex geometries, even under fluctuating production conditions. This is also true for several processes, but not all...

ALD is a chemical process that relies on available active sites on a substrate, and has proven capable to grow on surfaces one initially would assume to be inert, such as Teflon and gold. The initial stages of such growth deviates from the ideology of a layered growth. How does this affect the evolution in growth?

ALD can produce materials with textures varying from completely amorphous to well crystalline, and their growth dynamics can lead to film evolution that seemingly disobeys the linear growth process most related to ALD. The crystal growth dynamics known from PVD processes does not apply in the ALD regime. What are the factors that determine the evolution in texture?

ALD relies on self-terminating surface reactions to secure robust growth. What happens to the robustness if the bulk of the material is capable of absorbing some of the precursor and act as a reservoir?

ALD is most suited for deposition of binary compounds, and has proven well capable of production of ternary and quaternary compounds. However, control of stoichiometry is not as straight forward as simple combination of several binary processes. What controls the deposited stoichiometry?

These questions, and possibly many more, will be raised during the current contribution.

8:30 AM AF+AA-MoM-3 Atomistic View of the ALD of Zinc Oxide
Timo Weckman (Aalto University, Finland); Mahdi Shirazi (Eindhoven University of Technology, Netherlands); Simon Elliott (Tyndall National Institute, University College Cork, Ireland); Kari Laasonen (Aalto University, Finland)

The atomic layer deposition of zinc oxide has been under intense research in the past few years. Zinc oxide is a wide band-gap semiconductor with interesting properties that make it suitable for several applications. The most common precursors used in the zinc oxide ALD are diethyl zinc (DEZ) and water.

The surface growth process in terms of surface mechanisms is not entirely clear and many questions remain. While FTIR measurements [1] clearly show that during the DEZ pulse the surface is rapidly saturated with ethyl-groups, a slow deposition of the film is observed after the surface is saturated. This deposition is assumed [1] to occur because of a slow pyrolysis of DEZ on surface.

It has also been shown [2] that during the water pulse the surface ethyl-ligands are not completely removed. Even after prolonged exposures of water, 16% of ethyl-ligands remain on the surface. This is not taken into account in the conventional view of the process.

We have recently published [3] a comprehensive density functional theory study on the different mechanisms on a hydroxylated zinc oxide (100) surface using a slab model. The adsorption and surface reactions of DEZ were explored on an ideal as well as on a stepped ZnO surfaces. We propose that instead of thermal DEZ decomposition, the DEZ undergoes a second ligand-exchange reaction with a surface hydroxyl group that is considerably slower than the initial ligand-exchange reaction. This self-limiting reaction accounts for the FTIR observation of slow ongoing growth even after saturation with ethyl groups.

These studies have been extended to include also reaction mechanisms during the water pulse. We have investigated the adsorption and ligand-exchange reactions of water on the ethyl-saturated surface structured proposed in our previous paper.

These results serve as a basis for an extended kinetic investigation of the ZnO process over multiple ALD cycles using kinetic Monte Carlo (kMC), as previously used in [4]. The Monte Carlo implementation enables a real-time analysis of the atomic scale growth process based purely on mechanistic data from ab initio calculations. This combination of atomistic and kinetic modelling enables us to address issues regarding the complete growth process, such as the incomplete ligand elimination during the water pulse and a direct comparison with experiments.

[1] Ferguson J.D., Weimer A.W., George S.M., Journal of Vacuum Science and Technology A (2005) 23.

[2] Mackus A.J.M et al., Journal of Chemical Physics, 2017, 146

[3] Weckman T., Laasonen K., Journal of Physical Chemistry C, 2016, 120, 21460-21471

[4] Shirazi M., Elliott S., Journal of Computational Chemistry, 2014, 25(6), 878-889

8:45 AM AF+AA-MoM-4 Selective Deposition of Single Site Vanadium Oxide at Specific Functional Groups of Carbon Supports for Catalytic Applications
Pascal Düngen, Norbert Pfänder (Max Planck Institute for Chemical Energy Conversion); Xing Huang (Fritz Haber Institute of the Max Planck Society); Karl-Heinz Böhm (Max Planck Institute for Chemical Energy Conversion); Saskia Buller (Max Planck Institute for Chemical Energy Conversion, Germany); Robert Schlögl (Max Planck Institute for Chemical Energy Conversion)

Vanadium oxide single sites on functionalized multi-walled carbon nanotubes (MWCNTs) composite materials are promising catalysts for the oxidative dehydrogenation reaction (ODH) of ethylbenzene to styrene. MWCNTs itself exhibit a significant catalytic activity combined with encouraging stability properties if they contain functional groups on their surface.

Vanadium oxide (VOx) that is deposited by the reaction of the vanadium (V) oxytriisopropoxide precursor with the functional groups by atomic layer deposition (ALD) increases the catalytic activity as well as the thermal stability of the MWCNTs. The increasing catalytic activity is related to the appearance of single site VOx, since clustered V2O5 exhibits no promoting effect. The enhanced thermal stability is caused by the saturation of the functional groups with covalently bonded VOx. Furthermore, the immobility of singe site VOx disable the agglomeration and results therefore also in an increased catalytic stability.

The catalyst requirements needs advanced synthesis techniques like selective ALD. To ensure a sole ALD process fundamental knowledge about the reactivity between the precursor and functional groups is necessary. Furthermore it is essential to investigate the present functional groups of the support material. Modified carbon exhibits different oxygen containing functional groups (lactone, anhydride, ketone, ether, carboxylic, phenol) offering different activity. Characterization of the support materials was performed by spectroscopy (Raman, XPS) combined with thermal decomposition and thus enables the thorough analysis of different functional groups. Moreover the ALD active functional groups were identified experimentally by this approach and could be confirmed by theoretical calculations. Furthermore, ALD saturation curves could be correlated to the consumption of specific active functional groups.

In dependence of the applied pre-treatment methods of the carbon support materials different ratios and densities of distinct active functional groups could be synthesized on the surface. The controlled ALD process could realize a selective deposition and therefore generation of single site VOx species.

View Supplemental Document (pdf)
9:00 AM AF+AA-MoM-5 Surface Modification of V-VI Semiconductors using Exchange Reactions within Atomic Layer Deposition Half-cycle.
Christoph Wiegand (Leibniz Institute for Solid State and Materials Research Dresden (IFW Dresden), Germany); Robert Zierold, René Faust (Universität Hamburg, Germany); Darius Pohl, Andy Thomas, Bernd Rellinghaus, Kornelius Nielsch (Leibniz Institute for Solid State and Materials Research Dresden (IFW Dresden), Germany)

The development of new synthesis techniques has led to nanostructured materials with high purity and crystallinity enabling the discovery of highly conductive topological surface states in 3D topological insulators (TI). Recently, it has been shown, that with adding a thin layer of a certain material onto the surface of a TI, the electrical properties of the topological insulating materials can be drastically modified. Due to its self-limiting nature and conformal coating complex even on high aspect ratio nanostructures, ALD is the method of choice especially for complex structures such as nanowires and nanotubes.

The combination of ALD growth with a quartz crystal microbalance (QCM) enables the in situ observation of the precursor interaction with the surface. Since ALD is based on gaseous-solid chemical reaction of surface species with the metalorganic precursor, the deposition might differ significantly depending on the used precursors leading to alternated properties of the interface.

Herein, we show QCM results for interplay of Te- and Se-precursors (Te(Et3Si)2 and Se(Et3Si)2) with Sb2Se3 and Sb2Te3 surfaces focusing on the exchange interactions at the material interfaces. As depicted in Figure 1, we deposit a thin film on the surface of our QCM-crystal and study the evolution of frequency/film thickness when the QCM is exposed to the mentioned precursors. The used precursors Se(Et3Si)2 and Te(Et3Si)2 differ in their interaction behavior depending on the used V-VI semiconductor substrate. Within the gathered experiments, we propose an exchange reaction for the utilization of the used precursors.

To corroborate the QCM-studies, scanning-TEM and EDX-analyses of Topological Insulating nanowires (Bi2Se3 and Sb2Te3) treated with vapor of the two precursors have been conducted. Figure 2 shows the results of the analyses for a Sb2Te3 nanowire treated with 50 pulses of Se(Et3Si)2. The observations on such nanowire-systems support the results of the previously mentioned QCM-studies.

Hence, care has to be taken by coating of structures with ALD with respect to possible exchange reactions. Especially, the synthesis of core-shell nanostructures by ALD based on V-VI semiconductors might be more challenging compared to other substrates-precursors combinations.

View Supplemental Document (pdf)
9:15 AM AF+AA-MoM-6 Interface Dipole of High k -Y2O3 on GaAs(001) Attained using Cycle-by-Cycle ALD and Synchrotron Radiation Photoelectron Spectroscopy
Wan-Sin Chen (National Synchrotron Radiation Research Center, Republic of China); Keng-Yung Lin, Lawrence Boyu Young (National Taiwan University, Republic of China); Yi-Ting Cheng (National Chia-Yi University, Republic of China); Yen-Hsun Lin, Hsien-Wen Wan (National Taiwan University, Republic of China); Cheng-Yeh Yang (National Tsing Hua University, Republic of China); Chiu-Ping Cheng (National Chia-Yi University, Republic of China); Tun-Wen Pi (National Synchrotron Radiation Research Center, Republic of China); Raynien Kwo (National Tsing Hua University, Republic of China); Minghwei Hong (National Taiwan University, Republic of China)

Deposition of high-k dielectrics via atomic layer deposition (ALD) onto semiconductors becomes a norm to prepare a metal-oxide-semiconductor field-effect transistor (MOSFET). One key issue in determining the device performance of a MOSFET is the strength of the interface dipole at the O/S interface. The conventional method to tackle the issue of the interface diploe is using electrical current (I) - voltage (V) measurements, from which the interfacial dipole was calculated by processing the obtained I-V curve using a fit to a theoretical model with a few parameters under assumptions. The approach essentially deals with the bulk properties of the oxide and semiconductor. It becomes rather complicated to access to the “real” interface dipole. Because the interface dipole is an energy-parameterized function, the dipole strength can be directly determined without a presumption by utilizing the photoelectron spectroscopy (PES). Synchrotron radiation PES plays a key role here because it is able to deliver all the energy levels needed to determine the strength of the interface dipole. In this Conference, we will demonstrate the development of the ALD Y2O3 on a p-type GaAs(001)-4x6 with different cycles of deposition. The pristine p-GaAs(001)-4x6 surface was grown by molecular beam epitaxy (MBE) in a multi-UHV chamber system.1 The as-grown sample was then transferred to the ALD oxide reactor for further Y2O3 deposition.2 After each deposition, the sample was moved to National Synchrotron Radiation Research Center (NSRRC) with a UHV portable chamber for SRPES measurements. The photon energy was set at 120 eV to maximize the surface sensitivity. The interface dipole potential has been directly measured by the change of ionization potential (IP) relative to the IP value of the clean p-GaAs(001)-4x6. The change could result in with direction pointing outwardly from the surface (a positive dipole) and inwardly to the surface (a negative dipole). The formula of determining the ionization potential, IP = hn – W, where the width (W) is the energy separation between valence-band maximum (VBM) and the onset of the energy cut-off. We found that the surface dipole of the Y2O3/GaAs system is positive in the first few ALD cycles. The dipole strength becomes even greater with further cycles of Y2O3 until reaching to the polarization property of Y2O3.

1 Y. H. Chang, et al, Microelectronic Eng. 88, 440 (2011).

2 Y. H. Lin, et al, Materials 8, 7084 (2015).

3 C. P. Cheng, et al, Appl. Surf. Sci. 393, 294-298 (2017)

9:30 AM AF+AA-MoM-7 Surface Reactions during Three-step ALD of SiCxNy using Si2Cl6, CH3NH2, and N2 Plasma
Rafaiel Ovanesyan, Noemi Leick (Colorado School of Mines); Kathryn Kelchner (Lam Research Corporation); Dennis Hausmann (Lam Research); Sumit Agarwal (Colorado School of Mines)

High aspect ratio nanostructures in 3D semiconductor devices have necessitated the use of atomic layer deposition (ALD) for the growth of highly-conformal ultra-thin films. In particular, low-temperature (<400 °C) ALD of C containing silicon nitride (SiCxNy) is needed for applications such as sidewall spacers and trench liners. Herein, we report on the plasma-enhanced ALD of SiCxNy via a novel three-step process using sequential exposures of Si2Cl6, CH3NH2, and N2 plasma. The film composition, reactive surface sites, and adsorbed surface species were determined using in situ attenuated total reflection Fourier transform infrared spectroscopy, which allowed us to elucidate the C incorporation mechanism. In situ four wavelength ellipsometry was used to obtain the growth per cycle (GPC) and the refractive index of the SiCxNy films.

Our infrared spectra show that the three-step ALD process deposits a SiCxNy film (see Fig. 1) with C and H incorporated primarily as Si-N=C=N-Si and –NH species, respectively. Infrared spectra were also recorded after each step in this three-step ALD process. In the first step, at a substrate temperature of 400 °C, the infrared spectra (see Fig. 2) show that on a post N2-plasma treated surface, Si2Cl6 reacts primarily with –NH2 surface species to form –NH surface species, and most likely –SixCl(2x+1) (x = 1, 2) species. In the subsequent cycle, CH3NH2 reacts with the –SixCl(2x+1) terminated surface to form Si2N-CH3 surface species. This surface termination resembles the one that is obtained using aminosilanes as the Si precursor in N2-plasma-assisted SiNx ALD. In the N2 plasma cycle, the N2 plasma removes the –CH3 surface species, and N=C=N- species are incorporated into the film through the redeposition of C and N species liberated from the surface into the plasma. The N2 plasma restores the –NHx (x = 1, 2) surface species allowing for the continuation of the ALD process. The spectra for the Si2Cl6 and CH3NH2 cycles contain prominent features at ~2110 and 2210 cm-1 that we attribute to the conversion of surface carbodiimides (-N=C=NH) to cyanamides (-NH-C≡N). The incorporated C and H species are similar to those observed in SiCxNy films deposited using Si2Cl6 and CH3NH2 plasma ALD. In situ ellipsometry shows that the SiCxNy ALD process has a GPC of 0.1 ± 0.01 nm, which is higher than other aminosilane ALD processes, and a refractive index of ~1.9. Thus, the primary advantage of the three-step ALD process is that it can provide the positive attributes of the chlorosilane/CH3NH2 plasma process; high GPC and high conformality, while maintaining the low wet-etch rates characteristic of aminosilane/N2 plasma ALD processes.

Figure 1: Infrared spectra of a SiCxNy film deposited using 100 Si2Cl6, CH3NH2, and N2 plasma ALD cycles at 400 °C.

Figure 2: Infrared absorbance change during the Si2Cl6 and CH3NH2, and N2 plasma half-cycles at 400 °C.

9:45 AM AF+AA-MoM-8 A Comparative Study on PEALD Grown Nanolaminates on polypropylene: Influence of precursor and plasma variation
Maximilian Gebhard, Felix Mitschker, Peter Awakowicz, Anjana Devi (Ruhr-University Bochum, Germany)

During the last years, the advantages of atomic layer deposition (ALD) grown thin films, like high density and excellent conformity, have attracted a lot of interest from the packaging industry: Degradable goods like food, beverages, pharmaceuticals and advanced electronic devices (OLEDs) show an increased demand for improved protection with respect to harmful gases and vapors like oxygen and water. In this context, inorganic materials like Al2O3, SiO2 and TiO2 are promising candidates as gas barrier layers (GBLs) and they exhibit superior barrier performance even for extremely thin layers.

Coating of plastic materials, like polypropylene (PP) and polyethylene-terephthalate (PET), without substrate damage is often complicated, as the low melting points of the coated material makes the ALD process development a challenging task. Here, the benefits of low-pressure plasma-enhanced ALD (PEALD) are essential: with an average process temperature close to room-temperature and the generation of highly reactive plasma species, the deposition of strongly cross-linked materials at low temperatures is possible without substrate damage. In addition, processes can be operated faster while conformity of the grown film is ensured as well. Furthermore, a broader variety of precursors can be applied. However, the film growth on plastics differs from that on inorganic substrates (e.g. silicon) as different surface termination with respect to chemical species and reactive surfaces sites are present. In addition, plasma species, especially atomic oxygen, are known to etch polymers. While this kind of surface conditioning depends on the applied plasma and is in some cases an occurring but not desired process, surface pre-treatment can be achieved on purpose as well, for example using water plasma. Such kind of pre-treatment of the plastic substrate can affect the nucleation and growth of the subsequently deposited thin film.

Here we discuss the results from a comparative study, investigating the growth of nanolaminates, consisting of alumina and silicon oxide, on PP deposited by means of PEALD. The film growth was monitored using in-situ quartz-crystal microbalance (QCM) and we present a first approach to separate the growth and etching contribution during the initial cycles. Furthermore, the mechanical properties of nanolaminates are discussed in terms of adhesion and film stress as well as the influence of water plasma on the oxygen transmission rates (OTR) performance.

10:00 AM Coffee Break & Exhibits
10:45 AM AF+AA-MoM-12 A Dual Action Optical Sensor Using Metal and Dielectric ALD Layers
Sean Barry (Carleton University, Canada)

Tilted fibre Bragg gratings (TFBGs) are a class of optical fibre based sensor that are presently employed for temperature and pressure detection in transportation, building, and other infrastructure applications. The working principle of these sensors is that a Bragg grating in the core both diffracts light modes to the surface of the fibre, and breaks their symmetry. This produces very surface-sensitive modes that can interact with atmosphere surrounding the fibre.

Our recent discovery of a gold metal ALD process has permitted the coating of a TFBG with gold: this allows a surface plasmon resonance (SPR) to exist in the gold, and can sense the surface in two important ways: firstly, the shift from a localised plasmon in gold nanoparticles to a continuous plasmon in a "closed" metal film allows the detection of the thinnest conductive layer of gold. Gold metal grown from (Me3P) Me3Au(III) has a growth rate of 0.50 Å/cycle with oxygen plasma and water. The fibre sensor showed that a continuous plasmon formed at 84 cycles, suggesting that the thinnest optically conductive film of gold metal formed at 4.2 nm (which is demonstrably better than a sputtered film, which showed this shift at 6.5 nm).

This ALD gold coated fibre also showed significant sensitivity to the surrounding refractive index (SRI). The SPR can reach several nanometers into the space surrounding the fibre, and the SRI can invoke a shift in the wavelength of the cladding mode. With an ALD grown stack of 50 nm Al­2­O­3/50 nm Au0, the SPR was 150% more sensitive to an external refractive index, and the nature of the surroundings could be interrogated through changing the polarization of the affected modes.

This contribution will discuss the two aspects of sensing that a TFBG can provide, and show the effect of alumina, gold, and an alumina/gold stack on the extent and sensitivity of the SPR.

11:00 AM AF+AA-MoM-13 Disentangling Photochromism and Electrochromism by Blocking Hole Transfer at the Electrolyte Interface
Omid Zandi, Yang Wang, Jongwook Kim (University of Texas at Austin); Zhengning Gao (Washington University, St. Louis); Sungyeon Heo (University of Texas at Austin); Parag Banerjee (Washington University, St. Louis); Delia Milliron (University of Texas at Austin)

Tungsten oxide (WO3), the most ubiquitous inorganic electrochromic material, has found great applications in switchable smart windows that can significantly reduce energy consumption in buildings. However, the undesirable photochromism of WO3, which can be activated by natural ultraviolet irradiation, often leads to uncontrolled coloration and serious material degradation via a proton-coupled electron transfer process at the electrode/electrolyte interface. Herein, we report a novel strategy to largely suppress photochromism by depositing a uniform ultra-thin conformal layer of amorphous Ta2O5 on a mesoporous WO3 nanocrystal film via atomic layer deposition (ALD). The substantial valence band offset between WO3 and Ta2O5 effectively blocks hole transfer from WO3 valence band to the electrolyte, and thus greatly reduces UV-induced darkening without significantly compromising the rapid electrochromic switching kinetics. These results clearly demonstrate that rational design of the band alignment combined with precise nanoscale material engineering, can substantially improve performance of electrochemical systems, just as in solid state electronic devices.

View Supplemental Document (pdf)
11:15 AM AF+AA-MoM-14 Atomic Layer Deposition of Electro-optically Active Ferroelectric Barium Titanate Films
Edward Lin, Elliot Ortmann (The University of Texas at Austin); Stefan Abel (IBM Research-Zurich); Agham Posadas (The University of Texas at Austin); Jean Fompeyrine (IBM Research-Zurich); Alexander Demkov, John Ekerdt (The University of Texas at Austin)

The extremely large effective Pockels coefficient makes thin-film barium titanate (BTO) a highly promising candidate for on-chip electro-optical applications integrated on Si(001) [1]. Atomic layer deposition (ALD) allows for scalable growth of epitaxial perovskites on Si(001). In particular, both a- and c-axis out-of-plane oriented epitaxial BTO films grown on strontium titanate-buffered (STO-buffered) Si(001) were demonstrated using ALD. However, no direct observations of ferroelectricity have yet been reported on ALD-grown BTO films. Herein we report on the growth of electro-optically active BTO films via ALD. Epitaxial BTO films were grown on STO-buffered Si(001) at 225 °C, with the epitaxy verified by reflection high-energy electron diffraction and x-ray diffraction. Films up to approximately 10-nm thick were oriented c-axis out-of-plane as grown and after annealing up to 650 °C with a temperature change rate of no greater than 10 °C/min. Films became orientated a-axis out-of-plane when employing a faster heating/cooling rate. Films greater than 10-nm thick were a-axis out-of-plane orientated for all vacuum annealing conditions explored. Electro-optical measurements of BTO/STO/Si heterostructures showed ALD-grown BTO films as thin as 40 nm can exhibit an electro-optical response. The measurements also confirmed an observable remanence and therefore ferroelectricity of the BTO film. The results suggest the feasibility of fabricating BTO-based photonics devices that are monolithically integrated on silicon via ALD.

[1] Kormondy, K.J., et al. Nanotechnology 28 (2017) 075706 doi: 10.1088/1361-6528/aa53c2

View Supplemental Document (pdf)
11:30 AM AF+AA-MoM-15 Laser Spike Annealing of ALD VO2
Alexander Kozen (U.S. Naval Research Laboratory); Robert Bell (Cornell University); Brian Downey, Marc Currie (U.S. Naval Research Laboratory); Michael Thompson (Cornell University); Virginia Wheeler, Charles R. Eddy, Jr. (U.S. Naval Research Laboratory)

VO2 is an interesting thermochromic smart material notable for its first order phase transition at a critical temperature (Tc) of 68˚C. This phase transition is accompanied by major changes in both electrical and optical properties, particularly in the infrared. Due to these properties, and the TC range, VO2 has many important applications including variable emissivity sensors, bolometers, and active circuit elements.

Atomic layer deposition (ALD) can deposit thin, conformal VO2 films at 150˚C uniformly over large areas. However, as-deposited films are amorphous and exhibit poor thermochromic modulation behavior. A high temperature (>500˚C), long (≥1 hr) post deposition anneal is typically required to crystallize the VO2 into the desired monoclinic phase. This anneal precludes the use of many temperature-sensitive substrates.

Laser spike annealing (LSA) is a transient anneal technique using a high power continuous wave (CW) laser rastered over substrates on short (ms or µs) timescales. Compared to conventional anneals, LSA has the advantage of enabling crystallization of ALD films in short times without exposing substrates to damaging time/temperature regimes. We demonstrate the use of LSA on ~40 nm ALD VO2 films, transforming them into the desired phase with no prolonged high temperature processing.

Appropriate processing windows for LSA of ALD VO2 on Si and sapphire substrates were determined. LSA films were compared with conventionally annealed films to identify morphological and structural differences, and determine resulting temperature dependent optical and electrical properties.

We identify a clear relationship between the LSA peak temperature/dwell and the resulting film morphology. High temperatures result in substrate damage and VO2 ablation, while lower temperatures are not adequate to anneal the VO2. Similarly, long dwell times result in VO2 ablation while short dwell times exhibit greater uniformity.

We successfully area annealed ALD VO2 on c-plane sapphire substrates at temperatures near 550˚C, well below the expected melting temperature of VO2. The annealing window is limited by competition between formation of VO2 and V2O5 phases. Films exhibit an unusual propeller-like morphology that suggests explosive crystallization.

11:45 AM AF+AA-MoM-16 Improving Processability of Poorly Flowing Pharmaceutical Powders by Atomic Layer Deposition
Tommi Kääriäinen (University of Helsinki, Finland); Johan Nyman (Åbo Akademi University, Finland); Marja-Leena Kääriäinen, Pekka Hoppu (NovaldMedical Ltd Oy, Finland); Niklas Sandler (Åbo Akademi University, Finland); Steven M. George (University of Colorado); Mikko Ritala, Markku Leskelä (University of Helsinki, Finland)

Product and process design of oral drug dosage forms, such as tablets, capsules, pellets, granules and inhalation powders, strongly depend on flowability of pharmaceutical powders. Flowability is critically important to determine uniform powder feed into unit processing steps, such as tableting or capsule-filling equipment. Proper flow allows uniform particle packing and a constant volume-to-mass ratio in the final drug product. Proper flow is especially important in mixing and formulation of high potent and low dosage drugs, where the content of active pharmaceutical ingredient is measured in micrograms.

Factors affecting powder flowability are interparticle attractive forces, gravitational forces, as well as design and operating conditions of manufacturing process. Interparticle forces originate from electrostatic interactions and molecular interactions between single particles. For powders to be able to flow, gravitational forces need to dominate over interparticulate attractive forces. Atomic Layer Deposition (ALD) has shown to be a promising coating method to modify surface characteristics of solid pharmaceutical powders and improve flowability.

In this work, we present results of sub-nanometer scale TiO2 and ZnO ALD layers on poorly flowing acetaminophen drug powder. The depositions were performed on acetaminophen particles at temperatures around 100ºC by using TiCl4 – water for TiO2 ALD, and DEZ – water for ZnO ALD. Deposition was accomplished using static reactant exposures in a rotary particle ALD reactor. The powder flow properties were determined using a direct flow rate meter measuring a powder mass flowing through a hopper.

Pharmaceutical manufacturing can benefit from conformal ALD coatings. Three ALD cycles of TiO2 and ZnO ALD coatings on acetaminophen powder have already been found to increase the average flow rate tenfold compared to uncoated powder. Five ALD cycles resulted in slightly higher flow rate. However, the flow rate did not increase further with increasing number of ALD cycles. The flow rate of ZnO coated acetaminophen powder was more than double to flow rate of TiO2 coated powder. This difference is attributed to the electrical and hydroscopic properties of these two ALD materials.

Surface modification of pharmaceutical powders with sub-nanometer thick ALD films improves flowability. These results illustrate a promising new industrial application area for ALD in pharmaceutical manufacturing. The latest developments in particle ALD focusing on continuous spatial processing of particles will allow high volume industrial powder processing.

View Supplemental Document (pdf)
Session Abstract Book
(344KB, May 5, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD2017 Schedule