ICMCTF2007 Session HP: Symposium H Poster Session

Thursday, April 26, 2007 5:00 PM in Room Town & Country

Thursday Afternoon

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2007 Schedule

HP-1 The Effect of Deposition Temperature on the Structure and Electrical Properties of Low-k Film using Diethoxymethylsilane (DEMS) Prepared by Plasma Enhanced Chemical Vapor Deposition
Y.-L. Cheng (National Chi-Nan University, Taiwan)
The effect of deposition temperatures on the physical and electrical properties of low-k dielectrics was investigated in this work. These low-k films were deposited by plasma-enhanced chemical vapor deposition (PECVD) processes using diethoxymethylsilane (DEMS) as the precursor. Experimental results indicated that the deposition rate, refractive index, dielectric constant (k), and thermal stability were found to depend strongly on the deposition temperature. The low-k films with a lower deposition temperature have a lower k value whereas those with a higher deposition temperature have more Si-C-Si bridge network and have higher hardness as well. It was also observed that low-k films deposited at higher temperatures shows the better electrical and reliability performance in integrated structures. This indicates that the determination of the optimum deposition temperature is crucial for the application of low-k materials as interlayer dielectrics (ILD) in copper-dual damascene structures.
HP-2 Improvement of Stability of the Dielectric Properties of High-Density-Plasma Fluorinated-Silicate-Glass by Doping Nitrogen
B.-J. Wei, Y.-L. Cheng (National Chiao-Tung University, China)
Nitrogen-doped Fluorinated-silicate-glass (SIOF) films were deposited by adding N2 gas to SiH4/SiF4/O2/Ar gas mixtures using high-density-plasma (HDP) chemical vapor deposition method. As N2 is added increasingly, the fluorine concentration of the films increase and the dielectric constant decrease. By optimizing the N2 gas flow, the dielectric constant of N- doped SIOF films further decreased to 3.3. Moreover, these films were stabilized as manifested by a decreased change in dielectric constant after exposing pressure cooking test (PCT) and thermal treatment, indicating a significant improvement in the water and thermal resistivity of the films. It is proposed that the improvement of stability is correlated with the formation of Si-N bonds in SIOF films along with an increase in the film density due to bombardment effect of N2 gas. Furthermore, the stability of Fluorine was also identified by Al wring delamination check and adhesion analysis with different capped layers. Therefore, the N-doped SIOF films deposited by HDP-CVD were good candidate for interconnect dielectric application.
HP-3 Memory Effect of RF Sputtered ZrO2 Thin Films
C.-Y. Lin, C.-Y. Wu (National Chiao Tung University, Taiwan); T.-C. Lee, F.-L. Yang (Taiwan Semiconductor Manufacturing Company, Taiwan); T.-Y. Tseng (National Chiao Tung University, Taiwan)
Electrical properties of rf sputtered ZrO2 memory thin films were investigated in this study. The structure Al/ZrO2/Pt shows a reproducible resistive switching behavior at room temperature and its ratio of high to low resistance value is about 106. The reproducible resistive switching behavior is observed at 150°C as well. The data retention time of both high and low resistance states is over 105 s at room temperature and 85°C under static air. Besides, these two states demonstrate good stability under read voltage stress at room temperature and 85°C. According to above experimental results, the ZrO2 thin film has the potential for nonvolatile memory application. It demonstrates that the resistive switching behavior occurs in ZrO2 bulk because the reproducible similar behavior can be observed in ZrO2 memory thin films by using various top and bottom electrodes.
HP-4 High-Power Pulsed Magnetron Sputtering: Model Predictions and Experimental Verification
K. Burcalova, J. Vlcek, P. Kudlacek, J. Lukas, J. Musil (University of West Bohemia, Czech Republic)
In this contribution, a modification of the phenomenological model recently developed by D.J. Christie, together with its experimental verification, are presented. A weak additional ionization of sputtered target atoms in a plasma bulk and an effective magnetic confinement of electrons between the target and a substrate, reducing ion diffusion losses to chamber walls, were included in the model to explain the experimental results achieved with a newly designed, strongly unbalanced, magnetron system proposed for ionized high-rate sputtering of metallic films. Effects of model parameters (such as the sputtering and self-sputtering yield, the fraction of ionized sputtered atoms directed back to the target, the relative ion-to-atom loss factor and the fraction of additional ionization) on a factor, formally the same as a hypothetical normalized rate for high-power sputtering, determining the deposition rate per unit discharge power input and on the ionized fraction of sputtered atoms in the flux to the substrate are shown in dependence on the fraction of sputtered atoms ionized by the magnetron plasma. The calculations were carried out for copper and titanium targets. A completely different trend in the calculated values of the deposition rate per unit discharge power input obtained for these two technologically interesting materials with very different characteristics and the same trend in their values of the ionized fraction of sputtered atoms in the flux to the substrate were confirmed by our measurements. The depositions were performed using a newly designed magnetron system with a target of 100mm in diameter. The repetition frequency of the used dc pulsed high-power supply (with a maximum pulse voltage and current of 1kV and 120A, respectively) was 1kHz at a 20% duty cycle. The argon pressure was 0.5Pa.
HP-6 Influence of Aeration on the Pitting Corrosion Resistance of Duplex and Non-Duplex Cr-N Coated AISI H13 Steels
J.K. Morais, C. Godoy (Universidade Federal de Minas Gerais, Brazil); J.C. Avelar-Batista (Tecvac Ltd., Brazil); M.M.A.M. Schvartzman (Centro de Desenvolvimento da Tecnologia Nuclear- CDTN, Brazil); S. Goulart-Santos (Universidade Federal de Minas Gerais, Brazil)
In general, most alloys are more rapidly attacked in the presence of oxygen, whilst others will still exhibit superior corrosion resistance under these conditions. In order to investigate the influence of aeration on the corrosion resistance of PAPVD Cr-N-coated AISI H13 steels, potentiodynamic tests were carried out in both aerated and de-aerated 1N H2SO4 solutions at ambient temperature. Degassing of the electrolyte was achieved by bubbling nitrogen through the solution. Measurements of polarisation resistance (Rp) were performed between ± 10mV around the free corrosion potential. Four systems were investigated: uncoated AISI H13 steels in both non-nitrided and plasma nitrided conditions, Cr-N coating on non-nitrided steel (non-duplex system) and Cr-N coating on plasma nitrided steel (duplex system). Surface profilometry was also used before and after corrosion tests to infer about localised attack (depth of corrosion pits). The depth of corrosion pits was extracted from plots of height distributions, which were obtained from inverted isometric views of corroded surfaces. It was found that Rp increased when the solution was de-aerated for all systems. Surface profilometry also revealed that aeration of the H2SO4 solution decreased the pitting corrosion resistance of all systems, as the rate of localised attack (density of deeper pits) was higher when the solution was aerated. The deposition of Cr-N coatings improved the corrosion response of the steel, as non-duplex and duplex Cr-N systems exhibited higher corrosion resistance than uncoated AISI H13 steels in both non-nitrided and plasma nitrided conditions. The duplex system exhibited lower Rp than the non-duplex system, which could be attributed to the higher surface roughness exhibited by the former. Surface profilometry also indicated that plasma nitriding decreased the pitting corrosion resistance of the steel in both aerated and de-aerated solutions, independently of Cr-N coating deposition.
HP-7 The Effect of Silicon Nitride Barrier Process on Damascene Copper Interconnect
M.H. Yu (National Chiao-Tung University,, Taiwan); Y.-L. Cheng (National Chi-Nan University, Taiwan)
The process of plasma-enhanced chemical vapor deposited (PECVD) Silicon-Nitride (SiN) films as copper barrier layers capped on post copper chemical mechanical polishing (CMP) film were investigated, such as the waiting time between Cu-CMP and barrier dielectric deposition, the pre-heating time, ammonia plasma treatment prior to barrier film deposition and SiN film properties. Effective treatment of the copper surface ensures superior conductivity of the copper interconnects and enhances the adhesion of SiN to the post Cu-CMP surface. However, longer waiting time (>18hr) lead to delamination at the SiN/copper surface even performed the NH3 treatment. In addition, SiN films with low hydrogen content have superior etch selectivity and better electrical performance. Therefore, optimization of the copper barrier deposition process is crucial for ensuring the performance of copper interconnects.
HP-8 Resistance Characterization of Cu Stress-Induced Void Migration at Narrow Metal Finger Connected with Wide Lead
R. C.-J. Wang (Taiwan Semiconductor Manufacturing Company, Taiwan); L.K.S. Chang (National Tsing-Hua University, Taiwan); C.C. Lee, J.-H. Lin, K. Wu (Taiwan Semiconductor Manufacturing Company, Taiwan)
In this work, copper stress-induced voiding (SIV) at narrow metal finger connected with wide lead is investigated. Three failure modes are explored and discussed by different failure sites. A driving force of void migration resulted from hydrostatic stress gradient is also studied. Meanwhile, in order to understand the impact of void on multi-level Cu/low-k interconnects, a finite element analysis (FEA) model is created to simulate the resistance change with regard to different voiding sites, void morphology and interconnect scenarios. Eventually, a characterization between SIV and resistance change is obtained for the reference of reliability evaluation and risk assessment.
HP-9 Low Temperature Processing of Sol-Gel Derived CaCu3Ti4O12 Dielectric Thin Film Using KrF Laser Annealing
D.-Y. Lee (National Chiao-Tung University, Taiwan); L.-C. Chang (Huafan University); C.-C. Ho, B.-S. Chiou, J.-K. Wang (National Chiao-Tung University, Taiwan)
Sol-gel derived CaCu3Ti4O12 (CCTO) films spun on Pt/Ti/SiO2/Si substrates were annealed by a KrF pulse laser (θ=248 nm). The substrate temperature during lasing was maintained at 25°C with appropriate lasing conditions. The laser annealing improved both the crystallinity and the surface morphology of the CCTO films as confirmed by X-ray diffraction (XRD) analysis and scanning electron microscope (SEM), respectively. The surface roughness of the films was also significantly improved following laser annealing, compared with the furnace annealing. The dielectric constant of CCTO films increased monotontically with laser power density. The dielectric loss of the laser annealed CCTO thin film is below 0.1 at 100 kHz, as compared to a dielectric loss of~0.18 reported in literatures. Laser annealing with appropriate lasing conditions results in high dielectric constant (K) and low loss CCTO films without raising the substrate temperature and is a promising approach to preparing high K dielectric for DRAMs.
HP-10 On the Mechanism of 'Excessive' Gas Evolution during Plasma Electrolytic Oxidation of Al
L.O Snizhko, A.L. Yerokhin, A. Matthews (University of Sheffield, United Kingdom)
Plasma electrolytic oxidation (PEO) is an environmentally friendly process for corrosion protection of Al. During PEO, the yield of anodic gas products is several times the faradic value for oxygen. To provide better insight into the mechanisms underlying this phenomenon, the analysis of discharge interaction with electrolyte solution should be carried out. It is normally accepted that molecules of water evaporated at the electrolyte-discharge interface, undergo subsequent excitation due to ion bombardment from plasma followed by formation of water vacancies and free electrons. These products could then be involved into a series of recombination and ionisation reactions, yielding eventually hydrogen peroxide, hydrogen and oxygen. However, recent research into the kinetics and mechanisms of PEO in an alkaline electrolyte indicated that hydrogen is unlikely to be present in the anodic gas. Current study demonstrates that the above contradiction could be eliminated when assuming that the excessive oxygen evolution was catalysed by the presence of dissolved aluminium ions, as the reaction route in this case does not include hydrogen evolution. Concentrations of Al3+ ions ejected into the electrolyte were evaluated and compared with the amount of 'excessive' O2 evolved during PEO at various charge densities. The results show good correlation between the amounts of dissolved aluminium and excessive gas.
HP-11 Thickness-Dependent Microstructures and Electrical Properties of CaCu3Ti4O12 Films Derived from Sol-Gel Process
D.-Y. Lee (National Chiao-Tung University, Taiwan); L.-C. Chang (Huafan University); C.-C. Ho, B.-S. Chiou (National Chiao-Tung University, Taiwan)
CaCu3Ti4O12 (CCTO) thin films with various thicknesses were prepared by a sol-gel multiple coating process on Pt/Ti/SiO2/Si substrates. Microstructures and surface morphologies of CCTO thin films were analyzed by X-ray diffractometer (XRD) and scanning electron microscope (SEM), respectively. The correlation between the thickness and electrical properties of CCTO films was investigated. The dielectric constants of CCTO films increased with increasing film thickness. Temperature dependence of dielectric constant and loss tangent (tanδ) of CCTO thin films with different thickness was also measured. Possible mechanisms are explored.
HP-12 Ultra-Thin Porous Alumina Templates with Low Aspect Ratio Pores for the Fabrication of Metallic Quantum Dots
M. Kokonou, C. Rebholz (University of Cyprus); C.C. Doumanidis (MIT)
Porous anodized alumina (AAO) films are receiving increasing attention as templates because they posses many desirable characteristics, including tunable pore dimensions, good mechanical strength and thermal stability. The AAO template method has proved to be a cheap and high yield technique for producing nanoisland (dots) and wires homogenously distributed in hexagonal close packed arrays. For successful filling of the pores, for example by sputtering or evaporation techniques, it is important to have low aspect ratios in the range of 1:1 to 1:4. In this study ultra-thin porous alumina films, 20-50 nm in thickness, were synthesized by anodizing of aluminium in sulphuric or oxalic acid aqueous solutions on silicon substrates. Significantly different processing conditions were observed compared to thicker film deposition, and lower anodization voltages were used to avoid film dissolution immediately after their formation. The applied voltages resulted in the formation of pores with very small diameters and distances between them, in the range from 4-10 nm and 10-20 nm, respectively; i.e. templates for the growth of very small dots at high densities (1011-1012 per cm2) were formed. Ni and Al were then deposited by magnetron sputtering onto these templates to fill the pores and form metal dots, homogeneously distributed at very high densities, which find many applications in optoelectronics, in memories and in magnetic devices. The AAO templates, their formation procedure and the growth of the metal dots inside the pores of the templates were investigated by atomic force and transmission electron microscopy (AFM, TEM).
HP-14 A Mechanistic Study of the Pitting Corrosion of High Entropy Alloys Co1.5CrFeNi1.5Ti0.5Mox in Aqueous Environments
Y.-T. Tseng, Y.-C. Wang, J.-Y. Hsu, J.-W. Yeh, H.-C. Shih (National Tsing Hua University, Taiwan)

High entropy alloys (HEAs) are a newly developed family of multi-component alloys composed of at least five major elements, such as chromium, iron, nickel, aluminum, cobalt, titanium, copper, as well as molybdenum, etc. HEAs Co1.5CrFeNi1.5Ti0.5Mox have an FCC structure, a higher hardness than other FCC, good resistances to oxidation, and atmospheric corrosion. The potential applications of the HEAs are very significant.

To further understand the electrochemical properties of the HEAs, the pitting corrosion of the HEAs Co1.5CrFeNi1.5Ti0.5Mox was conducted in Cl- containing 0.5M H2SO4 solutions. The result of this study shows that the HEAs Co1.5CrFeNi1.5Ti0.5Mox have much higher pitting corrosion resistances than 304ss in the Cl- containing environments, as indicated by the significant differences in passive range as well as in pitting potential. The anodic polarization curves determined for Co1.5CrFeNi1.5Ti0.5Mox and for 304ss are very similar to each other in the chloride-free 0.5M H2SO4. However, the SEM images show that the surface of the HEAs have been seriously damaged due to their cast-structure. A corrosion mechanism will be discussed via electrochemical impedance spectroscopy together with the SEM observations.

HP-15 Evaluation of the Influence of a Carbon Thin Film Addition in AISI M2 High Speed-Steel by CO2 Laser Radiation
G.de Vasconcelos (General Command of Aeroespace Technology, Brazil); J.L. Reis (Instituto Tecnológico de Aeronaútica - ITA, Brazil); V.H. Baggio-Scheid (General-Command of Aerospace Technology, Brazil); R.C. Maia (Instituto Tecnológico de Aeronáutica, Brazil)
The objective of this paper is to evaluate the use of a CO2 laser beam of 50W to promote of the surface hardenning of the AISI M2 high-speed steel. An inconvenience of this process is the high reflection rate of the CO2 laser beam by the AISI M2 surface sample. In order to minimize this reflection, it was applied in the steel surface, a thin film of an absorber material of the incident radiation that transfers the absorbed heat to the steel. The micro-structural changes promoted by the laser action on the AISI M2 surface, were evaluated through a microscopy optic (MO) and micro hardness essays (MD). For MO analysis it was observed in the transversal section of the treated zone, the presence of a slightly clearer layer than the nucleus of the sample with thickness of 30 micrometers, MD essays in this new layer evidenced hardness about 30% than that without laser treatment.
HP-17 Electron Transport in Epitaxial Cu(001)/MgO(001) Layers
J.M. Purswani, D. Gall (Rensselaer Polytechnic Institute)
Cu layers, 6.6 nm to 1.2 µm thick, were grown on MgO(001) by ultra-high vacuum magnetron sputter deposition at 100°C. X-ray diffraction θ-2θ scans, ω-rocking curves, and polefigures, as well as transmission electron microscopy studies confirm that the layers are epitaxial single crystals. The surface morphology, as determined by in-situ scanning tunneling microscopy, exhibits a regular mound structure. The mounds grow in width w and height h as a function of layer thickness t from h = 3 nm and w = 20 nm for t = 20 nm to h = 5 nm and w = 200 nm for t = 1.2 µm. The layer resistivity increases with decreasing layer thickness from 1.70 µΩ-cm for t = 1.2 µm to 8.35 µΩ-cm for t = 6.6 nm. The resistivity increase is attributed to an increase in electron surface scattering as the film thickness approaches the electron mean free path in Cu. Fitting the data to the Fuchs-Sondheimer model shows that the electron scattering is almost completely diffuse.
HP-18 Source-Drain Barrier High Engineering for Suppressing the a-Si:H TFTs Photo Leakage Current
M.-C. Wang (National Tsing Hua University, Taiwan); T.-C. Chang (National Sun Yat-Sen University, Taiwan); P.-T. Liu (National Chiao Tung University, Taiwan); Y.Y. Li, J.R. Chen (National Tsing Hua University, Taiwan)
For effectively reducing the off-state signal loss resulted from the a-Si:H TFTs photo leakage current, the a-Si:H TFTs with the use of ITO as source-drain metal have been fabricated for this study. Several TFT structures have been fabricated to examine this characteristic. A remarkable reduction in photo leakage current has been observed under the 3300cd/m2 CCFL backlight illumination. The source-drain barrier high engineering has been proposed for these results. According to the energy band diagram, the barrier high for hole is estimated about 3eV. As a result, the photo- generation holes blocked in the source-drain barrier high could be effectively resulted in the lower photo leakage current in off-state.
HP-20 Relationships Between Material Properties of Piezo-Electric Thin Films and Device Characteristics of Film Bulk Acoustic Resonators
C.K. Park, D.Y. Kim, D.H. Cho (Hanyang University, Korea); J.B. Lee (Samsung Electro-Mechanics Co. Ltd., Korea); S.J. Yun, J.S. Park (Hanyang University, Korea)
Film bulk acoustic resonators (FBARs) are considered to be promising devices on passive RF communication components. Practical FBAR devices consist of piezoelectric thin films, such as polycrystalline ZnO and AlN, sandwiched between top and bottom electrodes onto which an electrical field is applied. The most critical factor in determining the resonance characteristics of FBARs is the piezoelectric property of piezoelectric materials. For this reason it is very important to examine the exact relationship between the structural property of piezoelectric thin films and the device characteristic of FBARs based on those piezo-films. However, the profound studies related to this issue have failed to be undertaken. Furthermore, a variable range of deposition conditions and their complexity in deposition methods including RF sputtering may affect the structural properties of deposited piezoelectric films. This study aims to elucidate the relationships between the material properties (crystal orientation, crystallinity, and surface roughness) of ZnO and AlN films and the frequency response characteristics (return loss and electro-mechanical coupling coefficient) of FBAR devices with the configuration of Al/piezo-film(ZnO or AlN)/Mo/Si. In addition, the special emphasis is placed on the investigation of the effects of electric dc bias application, oxygen incorporation, and two-step deposition on their material properties of both films. The important results obtained from this study are summarized as follows. Applying negative biases acts beneficially not only for improving the crystal quality of piezoelectric films but also for elevating the electro-mechanical coupling capability of FBAR devices. Too much injection of additive oxygen, however, may deteriorate the crystal quality and increase the surface roughness of deposited piezoelectric films. The property of piezo-films and the performance of FBAR devices can be improved by employing the two-step deposition process.
HP-21 Photocatalytic Properties of Pd-Doped Mesoporous TiO2 Thin Films
C.-C. Chang, C.-C. Chan, W.-J. Shiu (Feng Chia University, Taiwan)
In the present study, nanocrystalline TiO2/Pd composite thin films were prepared by a sol-gel spin coating technique. By introducing surfactant into the sol, mesoporous TiO2/Pd films can be prepared after calcination at various temperatures. The as-prepared TiO2 and TiO2/Pd thin films were characterized by X-ray diffractometry and scanning electron microscopy to reveal the structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. Sol-gel processed TiO2 film without introducing surfactant exhibited a pore-free smooth surface. While mesoporous TiO2/Pd composite thin films with an average pore size of ~10 nm were obtained after calcination when the surfactant was introduced. The photodegradation performance of mesoporsous TiO2 thin film with 5% Pd addition exhibited the best photocatalytic activity that ~90% methylene blue can be decomposed after UV exposure for 6 hours.

Keywords: photocatalytic properties, mesoporous, TiO2, spin coating, composite thin films, Pd-doped.

HP-23 Corrosion Resistance and Wear Behaviour of PVD Zinc/Nickel-Based Nanocomposite Coatings
N. Al-Anazi, Z. Mohd Rosli, A. Leyland, A. Matthews (University of Sheffield, United Kingdom)
Corrosion-resistant PVD coatings based on ternary/quaternary systems of Zn-Ni with additions of Al and/or Cr have been deposited by unbalanced magnetron sputtering. Open circuit potential and polarization resistance measurements for Zn-Ni (Al,Cr) coatings deposited on C-1018 carbon steel (in 3.5 % NaCl solution and simulated waste water) have been performed, in order to investigate the connection between the coating corrosion rate and the potential difference between the coatings and the steel substrate. Scanning electron microscopy (SEM) was applied to characterize coating structure and corrosion products after exposure to the corrosive media. Performance is compared with commercial electrodeposited Zn-Ni using both electrochemical techniques and SEM analysis. Coatings deposited onto M2 tool steel coupons were also evaluated by nanoindentation, micro-abrasion and reciprocating-sliding wear tests to determine their mechanical and tribological properties and behaviour.
HP-24 The Electrical Performance of a-Si:H Thin Film Transistor Under Cryogenic Temperature
S.W. Tsao, T.-C. Chang (National Sun Yat-Sen University, Taiwan); M.-C. Wang (National Tsing Hua University, Taiwan)
Hydrogenated amorphous silicon thin-film transistors (a-Si:H TFTs) are now widely used as switch devices in large-area electronic devices such as active matrix liquid-crystal displays (AMLCDs)1. Because the application of AMLCDs could be used everywhere in the world, the temperature effects on the electrical characteristic of a-Si:H TFTs would be more and more important to study at any temperature. In this study, we have investigated the temperature effect of electrical characteristics in a-Si:H TFTs fabricated on stainless-steel substrates coated with insulator. The degradation of the ON current and mobility, and the large threshold voltage shift are observed at cryogenic temperature region (about 77K). Furthermore, the threshold voltage increases with the decreasing of temperature, and the ON current and mobility also decreased with the decreasing of temperature. That is because of the n+- a-Si:H layer is not sufficiently activated.
HP-25 Electronic Degradation of Poly-Si TFT at Cryogenic Temperature
C.F. Weng, T.-C. Chang (National Sun Yat-Sen University, Taiwan)
Hot carrier stress was more serious at Cryogenic Temperature. In general, hot carrier effect is proportional to carrier mobility. In this experiment data, mobility increase was responsible for hot carrier stress got worse at cryogenic temperature. However, the situation seemed to be different in dynamic stress. Dynamic stress was slight at cryogenic temperature. The electronic degradation of poly-si TFT under dynamic stress was decrease with temperature increasing. No matter dynamic stress or hot carrier stress, the electronic degradation of poly-si TFT became apparent at cryogenic temperature and the electronic degradation got slight at room temperature. The interesting characteristics were discussed in this paper.
HP-26 Investigation on Hot Carrier Effects in 65-nm MOSFETs Under External Mechanical Stress
Y.-J. Kuo, T.-C. Chang, W.-T. Ho (National Sun Yat-Sen University, Taiwan)
Integrated circuit technology has already got into nanometer scale. In order to enhance drain current and mobility, strained silicon has developed. A new approach to get uniaxial stress from the channel, 65-nm metal-oxide-semiconductor field effect transistor is bent by applying external mechanical stress. It is found that the drain current and mobility have been increased 22% and 30%, respectively. In this paper, the behaviors of the substrate current and the impact ionization rate are also investigated. By utilizing the relationship between impact ionization efficiency and mean free path, it was found that the substrate current and gate voltage Vgmax corresponding to the maximum impact ionization current has significantly increased by increasing external mechanical stress. The result strongly suggests that the strain-induced enhancement of impact ionization efficiency is due to improve mean free path of carrier by suppress inter-valley scattering.
HP-27 Optical and Electrical Properties of Mixed Oxide ZrxSi1-xO2 Thin Films
F.J. Ferrer (Centro Nacional de Aceleradores, Spain); F. Frutos (Universidad de Sevilla, Spain); J. García López (Centro Nacional de Aceleradores, Spain); A.R. González-Elipe (Insituto de Ciencia de Materiales de Sevilla, Spain); F. Yubero (ICMSE (CSIC-Univ. Sevilla), Spain)
Mixed oxides ZrxSi1-xO2 (03CH2O)3SiH and Zr[O(CH2)3CH3]4 volatile precursors induced by O2+ and O2++Ar+ ions. The films were compact and amorphous independently of the Si/Zr ratio and do not present phase segregation of the pure oxides (SiO2 and ZrO2). It is found that it is needed a threshold kinetic energy for the ions used in the preparation to minimise the amount of H and C impurities incorporated in the films. 10-20 atomic % of H and 1-10 atomic % of C atoms remain incorporated in the films depending on the mixture ratio of the Si and Zr precursors during the deposition process, as determined by RBS, NRA and ERDA analysis. Optical properties of the films were studied by transmission and reflection UV-Vis spectroscopies. It was found that the refractive index of the thin films increases from 1.44 (SiO2) to 1.96 (ZrO2) as the Zr content in the films increases. At the same time, the band gap energy decreases from 8.7 eV to 5.1 eV as the Zr content in the films increases. None of these variations follow a linear correlation with the stoichiometry of the films. Electrical characterization of the films by C/V and I/V curves has also allowed getting the permittivity, the initial mechanism of conduction and voltage breakdown of the films.
HP-28 Gd-Substituted Bismuth Titanate Film Capacitors Having Ferroelectric Reliability and Large Nonvolatile Charges
U. Chon (Research Institute of Industrial Science and Technology, Korea)
Fatigue-free Gd-modified bismuth titanate (Bi3.15Gd0.85Ti3O12 ; BGdT) film capacitors having stable charge-retaining characteristics were grown on Pt/TiO2/SiO2/Si(100) substrates using the method of metal-organic sol decomposition. The BGdT film capacitor with a top Pt electrode showed significantly improved values of the remanent polarization (2Pr) and the nonvolatile charge as compared to those of the Bi4-xLaxTi3O12 (BLT; x=0.75) film capacitor, currently renowned as a promising candidate for nonvolatile memories. The saturated 2Pr value of the BGdT capacitor was 75 µC/cm2 while it remained essentially constant up to 4.5x1010 read/write switching cycles at a frequency of 1 MHz. In addition to these, the capacitor demonstrated excellent charge-retention characteristics with its sensing margin of 52 µC/cm2 and a strong resistance against the imprinting failure.
HP-30 Development of an Electrolytic Coating Tin-Cobalt Alloy Plating Alternative by Techniques of Current Modulation
L.T. Boet, O.J. Hernández. (UNEXPO University, Venezuela)
To study and to evaluate of plating condition and plating process of tin-cobalt alloy to obtain a coating alloy alternative by techniques of current modulation. As a result has found that surface of plating is best when plating condition with duty cycle of 14.28 %, a peak pulsed current density of 14 A/dm2 is required for an average current density of 2A/dm2 solution temperature (50°C) y pH 8. The effect of pulsed current plating to on the structure of deposits from a pyrophosphate baths without additives has confirmed an increase in brightness, reduction in grain size and a progressive transition of structure from columnar a lamellar. The use of pulse current plating parameters that result in grain refinement will also lead to a reduction in porosity of deposits as compared with direct current plating. Scanning electron micrographs show that it’s possible produce electrodeposited tin-cobalt alloys deposits more uniform by pulsed plating. The analysis by EDX showed that the composition of the alloy tin and cobalt ratio molar 1: 1.
HP-31 Aerosol Assisted CVD of Molybdenum Oxide Films from Polyoxometalate Precursors and their Functional Properties
I.P. Parkin, S. Ashraf, C.S. Blackman (University College London, United Kingdom)

Molybdenum oxide films have been deposited on glass substrates from polyoxometalates (large charged clusters), by Aerosol Assisted Chemical Vapour deposition (AACVD)1. At substrate temperatures in the range 300-350°C the films were comprised solely of the MoO3 phase whereas at temperatures in excess of 500°C MoO2 films were formed. At intermediate temperatures mixed MoO3 and MoO films were deposited. The morphology of the molybdenum oxide films was found to be dependent upon a number of factors including the nature of the precursor used, the deposition temperature and position of the film within the reactor. Needles, spheres, agglomerates and platelets formed depending upon the conditions employed. The films with a needle-like microstructure displayed enhanced hydrophobicity to water droplets (125° contact angle). X-ray diffraction showed that the MoO3 films had typical cell constants of a = 3.96, b = 13.85, c = 3.69Å and the MoO2 films had typical cell constants of a = 5.62, b = 4.84, c = 5.56Å, b = 119.32°. The MoO2 films were readily converted to MoO3 by annealing in air for 30 minutes at 600°C. The MoO3 films functioned as gas sensors showing a linear change in electrical resistance upon exposure to trace amounts of ethanol vapour in air.

1 Ashraf, S.; Blackman, C.S.; Hyett, G., Parkin, I.P. J. Mater. Chem., 2006, 16, 3575.

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2007 Schedule