ICMCTF2006 Session HP: HP Poster

Thursday, May 4, 2006 5:00 PM in Room Town & Country

Thursday Afternoon

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2006 Schedule

HP-1 Manufacturing of Light Emitting Diode Device Using Tunnel Coating Thin Films
P.T. Chiang, J.S-C. Jang (I-Shou University, Taiwan); S.M. Chiu (MIRDC, Taiwan); C.-W. Chu, C.Y. Lu (I-Shou University, Taiwan)
Most of the current thin films after surface treatment are single functional which can not meet the multi-functional surface characteristics requirements. This project tries to utilize the plasma effect on the various sputtering rate of the elements and the reacting synthesized new materials characteristics to aim on the various functional requirements to pile up the various functional thin films and coupled with the mask application to form the tunnel coating thin film structure so that the various thin films can develop their original characteristics and there is no mutual interacting affect among the various thin films,Therefore the multi-layer thin film has independent function to become the thin film unit, This project tries to combine the thin film elements and the LED photo-electronics elements constructed from the tunnel coating thin film elements to form a light emitting device and apply in the light sources system in the future to promote the heat dissipation rate of the LED and upgrade the utilization of electricity to increase the lighting illumination. However the tunnel coating thin films have multi-functional characteristics therefore they can create the applications in the photo-electronics semi-conductor elements and the processing of MEMS of the electronics elements, Schematic presentation of the unit of light emitting device made from thin film elements and photo-electronics elements conducting thin film silver glue insulating thin film water barrier thin film reflecting thin film.
HP-2 Extraction of Effective Dielectric Constants and the Effect of Process Damage of Low-k Dielectrics for Advanced Interconnects
Y.-L. Cheng, H.-C. Chen (National Chi-Nan University, Taiwan)
In this paper, the line-to-line parasitic capacitance of an advanced interconnects with a low-k dielectric (k < 3.0) was extracted by electrical measurement on comb-serpentine structures with various spacing. The empirical values are higher than the prediction from the filed solver, especially in the small geometries. A model was derived based on the damage of low-k dielectric during processing, which causes the increase of the dielectric constant. Then, the effective dielectric constant was evaluated by both simulation and theoretical model. The k value of damage zone was determined from blanket wafer by mercury probe after oxygen plasma treatment. Good agreement was obtained after we modified the simulation structure to include the damage zone. Especially, the concept of low-k damage due to plasma treatment was characterized for the first time. Thus, it is possible to use either this model in the future study, like the porous low-k in 65nm or even 45nm generations.
HP-3 Effect of Interfacial Condition on Electromigration for Narrow and Wide Copper Interconnects
Y.-L. Cheng (National Chi-Nan University, Taiwan)
The sub-micron damascene interconnects, electromigration is mainly due to the diffusion at the interfaces of Cu with liner or dielectric capping layer. Many reports have shown that Cu/capping dielectric as the dominant interface. Experiments were performed to study the effect of the interfacial conditions of Cu/capping barrier dielectric and line width. The results revealed significant differences in electromigration behavior of via-fed upper and lower layer damascene test structures. For upper layer test structure, the capping layer and plasma surface treatment significantly dominated EM performance for both line width structures. In the case of lower layer test structure, the electromigration time to failure was found to be dependent upon the line width and it remained unaffected by the capping layer and plasma surface treatment as the line width is increased by three times.
HP-4 Ultrahigh-Density Erasable Phase-Change Recording in Crystalline Initial Ge-Sb-Te Films
C.S. Lee, M.S. Suh, K.-I. Lee, J.-W. Cho, J.-K. Shin (Korea Electronics Technology Institute, Korea)
Due to the ultrahigh-density and low power consumption, probe based storage technologies have been regarded as a promising alternative to conventional data storage. Recently, there have been some reports on electrical phase-change recording in amorphous Ge-Sb-Te films using conductive atomic force microscopy. Writing was conducted by local crystallization of the initially amorphous media through Joule heating induced by a current injected from the conductive probe across the media. Written data could be read by monitoring the local electrical conductance differences between amorphous and crystalline state. However, erasing procedure was not well achieved because there were some problems such as residual crystalline ring in amorphous matrix after erasing. In the present work, we demonstrated the reproducible nano-scale electrical recording and erasing in crystalline initial Ge2Sb2Te5 films with protective coating layer. Optimized media were prepared by a hybrid deposition system of PECVD and RF magnetron sputtering. To crystallize as-deposited media, rapid thermal process was carried out under Ar ambient condition with 15 min and 300 C. Writing process, that is local amorphization, was conducted by applying a negative pulse voltage between -7 and -8 V to the probe, where pulse width was under 100 ns. The recording data were read using a positive DC voltage ranging from +0.1 to +0.5 V to substrate. To erase data (re-crystallization), the probe was applied a negative pulse voltage (-5 V) at written point, where pulse width was above 500 ns. We could observe the reproducible writing and erasing of data without residual crystalline ring. It was observed that data bits as small as 25 nm have been written and erased not only with good reproducibility but also without residual crystalline ring. Data storage density of greater than Tbit/inch2 has been successfully achieved.
HP-5 Ultrathin Co-Based Diffusion Barriers Grown by Self-Aligned Electroless Deposition
G.S. Chen, Y.S. Tang (Feng Chia University, Taiwan); P.Y. Lee (National Taiwan Ocean University, Taiwan)

In this work, we present a different approach without involving the sensitizing- or displacement-activation process to grow seeds that could be selectively patterned to catalyze the sequential growth of electroless barrier and Cu films on SiO2 on Si (100) wafer. The underlying concept is using plasma treatment in conjunction with colloidal-related chemistry to create surface active sites that adsorb positively charged metal ions onto negatively charged dielectric films, followed by reduction to neutral atoms. The activated surface is then catalytic to the deposition of metallic barriers by electroless plating. The seeding precipitates produced under an optimum condition have sizes of only 2 to 4 nm, and hence can catalyze the growth of ultrathin (~20 nm) diffusion barriers for next-generation Cu metallization.

Three barrier types with controlled amounts of alloying elements (boron and tungsten), Co95.5B4.5, Co92.0B8.0 and Co90.1W2.9B7.0, were evaluated to clarify (1) the factors controlling the dramatic diminishment of the barrier’s resistivity from ~10,000 to 30 µmΩ cm upon annealing and (2) the effectiveness of the barriers for Cu metallization. Results obtained from thermally stressing the Si/SiO2 (100 nm)/barrier (40 nm)/Cu (40 nm) samples indicate that the performance of the barriers can be markedly improved by adding solute atoms, particularly tungsten. After the 450 °C /1 hr annealing, the components of Co and B in the binary barriers have significantly penetrated the SiO2 layer, ultimately reacting with the underlying Si to form Co2Si and triggering an interdiffusion between Cu and Si. Conversely, the Co, W and B comprising the ternary barriers remain immobile and can retain the integrity of the stacked film structure, even after the most stringent thermal stressing condition.

HP-6 Bending Effects on ZnO Based Thin Film Transistors Fabricated by rf Magnetron Sputtering on Plastic Substrate
P. Lin (National Chiao-Tung University, Taiwan); Y. Wang, K.C. Chen, J.C. Ho, C.C. Lee (Industrial Technology Research Institute, Taiwan); J.H. Lee (National Chiao-Tung University, Taiwan)
ZnO thin film transistors (TFTs) fabricated by rf magnetron sputtering on polyether sulphone substrates with polyimide gate insulator were investigated. A field-effect mobility of 0.2 cm2 /Vs and an on/off ratio of 105 were obtained of ZnO-TFTs. Bending experiments were performed under various bending radius ranged from 3 cm to 25 cm, and the mobility and on/off ratio of the devices had no significant degradation while bending performed. The bending effects on mobility, off-state current and threshold voltage of ZnO-TFTs were examined. To proof the electrical properties of ZnO-TFTs, the influence of bending stress on dielectric constant and leakage current of polyimide gate insulator were also discussed. The stress dependence of carrier concentration in ZnO channel and surface state in ZnO/polyimide interface given by capacitance-voltage (C-V) measurement of metal-oxide-semiconductor (MOS) structure was interpreted. Furthermore, the off-state current of ZnO-TFTs related to the carrier concentration of ZnO active channel layers under various bending conditions.
HP-7 Strain Effects on the Structure and Magnetic Properties in La0.7√sub 0.3MnO3/LaNiO3 Magnetic Oxide Superlattices
H.-J. Liu, H.-Y. Lee (National Synchrotron Radiation Research Center, Taiwan)
Magnetic multilayer films consisting of the ferromagnetic oxide La0.7√sub 0.3MnO3 (LSMO) and paramagnetic oxide LaNiO3 (LNO) have been grown on SrTiO3 (STO) substrate by RF magnetron sputtering technique. X-ray reflectivity and high-resolution grazing incidence x-ray diffraction measurements were employed to characterize the microstructure and strain of these films. The clearly discernible satellite peaks on both sides of the main peak observed in the (002) crystal truncation rod indicate the high quality of the LSMO/LNO artificial superlattice structure that is achievable with RF sputtering. In-plane grazing incidence x-ray diffraction measurements show that films deposited at a higher temperature have a more greatly strained state than for films deposited at a lower temperature. Magnetization and hysteresis measurements by superconducting quantum interference device magnetometer (SQUID) show magnetic properties are highly correlative with interfacial strain between substrate and film. The saturation magnetization increases and the magnetic coercivity field (Hc) decreases with increasing substrate temperature. The Curie temperature (Tc) slightly decreases as the film is under higher strain state.
HP-8 The New Mechanical Stress Method on the n-MOSFETs with <100> and <110> Channel Directions
C.-W. Lo, T.-C. Chang (National Sun Yat-Sen University, Taiwan)
When the mosfet devices have been grown, we grind the wafer from the thick of 800um to 50um and then past it up on the aluminum block. the mosfet devices are investigated via current-dependent voltage measurement of different channel length under uni-axial strain. The new method can research devices under mechanical stress at many different temperatures even to low temperature. On (001) surface and channel direction <110>, the uni-axial tensile stress parallel to channel length result in enhancing mobility but the tensile stress parallel to channel width lowering mobility even at high temperature. On (001) surface and channel direction <100>, both of the uni-axial tensile stresses parallel to channel length and channel width result in mobility improvement. Strain with current//L_tensile stress//<100> gives larger mobility gain than current// L_tensile stress//<110>, and the latter gives a little bigger mobility gain than current// W_tensile stress//<110>. The behavior can be inferentially explained due to the electron repopulation and the different energy level split.
HP-9 The Study on Characterization of Inorganic-Organic Hybrid Thin Films Deposited by PECVD Method
I.-S. Bae, S.-J. Cho, C.-K. Jung, Y.-H. Song, J.-H. Boo (Sungkyunkwan University, Korea)
Inorganic-organic hybrid thin films have been deposited on glass and silicon substrates at below 100 °C for analysis of their various properties by PECVD (plasma enhanced chemical vapor deposition) method for low-k dielectric materials. Methylcyclohexane monomer was utilized as organic precursor, and hydrogen and Argon were used as bubbler and carrier gases, with TEOS (tetraethyl orthosilicate) was used as inorganic precursor, inorganic precursor was applied heat to vaporization. In order to compare the influence of the electrical and the optical properties of the plasma inorganic-organic hybrid thin films with deposition conditions such as RF power and deposition temperature. The as-grown plasma hybrid thin films were analyzed by XPS, FT-IR, UV-Visible spectroscopy and ellipsometry as well as I-V and C-V curves. As the plasma power was increased, the refractive index of thin films increased and transmittance decreased by ellipsometry and UV-Visible measurement.
HP-10 Study on the Electro-Chemical Behavior of Zn-TI-O Film Synthesized by Unbalanced Magnetron Sputtering
S.D. Kim, S.S. Kim, H.S. Uhm (Institute for Advanced Engineering, Korea)
The US Environment Protection Agency (EPA) and European Community established the maximum permitted level of nitrate in drinking water. So, reducing the nitrate concentration in drinking water is imperative at the present time. Many methods such as ion exchange, reverse osmosis and biological denitrification have been used to remove nitrate from drinking water. However, the removal nitrate by electro-chemical treatment methode has not been introduced in electro-chemical application. In this study, Zn-Ti-O coatings were deposited on pure titanium plates of electrolytic electrode in order to improve its cathodic stability and to increase its service life of cathode electrode for removal of nitrate. Coating with various Ti contents were synthesized by unbalanced magnetron (UBM) sputtering methode and their structural characteristics, chemical composition and electrical properties were investigated by AES, XPS, SEM and 4-point prove. As Ti target power was increasing, the Si contents in the deposited thin films were increasing. We found that cathodic stability and durability were depends upon the titanium contents and its micro-structure. The detailed experimental results included description of electrochemical properties and durability of Zn-Ti-O coatings.
HP-11 Electro-Chemical Properties of Iridium Oxide Coated Ti Electrode Synthesized by Unbalanced Magnetron Sputtering Process
S.S. Kim, S.D. Kim (Institute for Advanced Engineering, Korea); H.S. Uhm (Institute for Advanced Engineering)
The Dimension Stable Anode (DSA) of catalytic oxide electrode has been widely applied to wastewater treatment by electro-chemical methode in the past two decades. The most representative DSA are RuO2/Ti and IrO2/Ti of a rutile structure. Especially Iridium oxide films have attracted much attention due to their excellent properties such as low resistivity, high chemical and thermodynamic stability and electro catalytic properties. In this study, Iridium oxide films were deposited on pure titanium plates and Si substrate by unbalanced magnetron (UBM) sputtering process under various oxygen partial pressure as well as working temperature up to 500°C. The structural characteristics, chemical composition and electrical properties of Iridium oxide films were investigated by XRD, XPS, SEM and 4-point prove. Furthermore, the electrochemical properties and durability of iridium oxide coated titanium electrode were also studied. The electric resistance of films gradually increased with increasing oxygen partial pressure(PO2) and maintained the value of approximately 180 µΩcm at PO2 above 0.6mTorr and this value is approximately 3-4 times lower than that of the conventional DSA electrode. The detailed experimental results included description of electrochemical properties and durability of Iridium oxide coated titanium electrode will be presented.
HP-12 Self-Aligned Pattering of Active Region for Organic Thin Film Transistor
H.-H. Wu (Institute of Electronics of Electro-Optical Engineering, Taiwan); H.-K. Chen, P.-T. Liu (National Chiao Tung University, Taiwan); T.-C. Chang (National Sun Yat-Sen University, Taiwan); C.-Y. Wu, K. Peng (Chunghwa Picture Tubes, Ltd., Taiwan)
In this study, a novel method for self-aligned pattering organic semiconductors between source/drain contacts in organic thin-film transistors (OTFTs) is proposed. In the technology, under UV back-light illumination the gate metal electrode acts as the shielding mask and the UV-illuminated gate dielectric film will be selectively transferred from hydrophobic-like to hydrophilic surface. The hydrophilic surface will prevent organic semiconductor layer from forming on the top of bottom-contact regions, thereby resulting in the selective deposition of active region.. Compared with conventional patterning methods using shadow mask or wet/day etch processes, the proposed self-organization process has no limitation in device dimension and is free from degradation caused by plasma etch process. On the other hands, this technology of precisely self-aligned pattering will be suitable for high-resolution display or roll-to-roll process.
HP-13 Highly Enhanced Memory Effect on Low-Temperature Thin-Film Transistor EEPROM Cell
C.-S. Huang, C.-W. Wang, C.-W. Chen, P.-T. Liu (National Chiao Tung University, Taiwan); T.-C. Chang (National Sun Yat-Sen University, Taiwan)
With the concept of system-on-panel (SoP) to reduce manufacture cost, creating additional product value, the memory devices fabricated on glass substrate are essential for TFT-LCD peripheral driver ICs application. In this work an enhanced twin polycrystalline silicon thin film transistors (poly-Si TFT) EEPROM has been fabricated by plasma enhanced chemical vapor deposition (PECVD) with low temperature process.[1] The performance of memory cell is effectively enhanced by increasing the overlap coverage between gate electrode and the source/drain regions, due to an increasing coupling ratio. Also, two memory devices with different overlap area were compared to investigate the coupling effect. Experimental results have shown the on-current of poly-Si TFT EEPROM with fully overlap coverage is 1.09 times larger than that of the memory device with a 1µmm overlap in the length between gate and source/drain. In addition, under the same programming conditions the threshold voltage shift of fully-overlap EEPROM and the one with 1µmm overlap are 5.23V and 3.79V, respectively. The main cause for the excellent memory characteristics of fully overlap poly-Si TFT EEPROM cell is attributed to the enhanced controlling gate coupling ability. [1] Jung-Hoon Oh, Hoon-Ju Chung, Nae-In Lee, and Chul-Hi Han.IEEE ELECTRON DEVICE LETTERS, VOL. 21, NO. 6, 2000.
HP-14 Application of the Copper as the Recombination Centers on TFT LCD to Suppress the Photo Leakage Current
H.-W. Li, P.-T. Liu (National Chiao Tung University, Taiwan); T.-C. Chang (National Sun Yat- Sen University, Taiwan); S.-N. Jenq, C.-C. Wan, Y.-Y. Wang (National Tsing-Hua University, Taiwan); J.-H. Chen (Quanta Display Inc.)
The reduction of gate busline RC propagation delay and the enhancement of pixel electrode aperture ration are very critical for large area and high resolution active matrix liquid crystal displays. To achieve these goals, the development of a metal electrode process with low electrical resistively is a core technology. In this study, the Cu electro-deposition method is used to direct form the taper profile. The taper profile can be deposited by adding some additives in the copper electro-deposition process. The taper sidewall can enhance the step coverage characteristic of the subsequently deposited insulator. Because the taper profile can reduce the electric field can the corner and the reliability of the TFT device will be improved. In addition, an alternative method has been proposed to suppress photo leakage current of a-Si TFT operated at off-state. Copper can be served as a deep level trap center into Si film to act as recombination centers. Experimental results have shown the photo leakage current of devices is lower than that of a-Si TFT without the introduction of Cu.
HP-15 The Novel Application of Low-Temperature Poly-Si on Nonvolatile Memory
Y.-T Chou (National Chiao Tung University, Taiwan); J.-L Chen (National Taiwan University, Taiwan); P.-T. Liu (National Chiao Tung University, Taiwan); T.-C. Chang (National Sun Yat- Sen University, Taiwan); C.-Y. Wu, K. Peng (Chunghwa Picture Tubes, Ltd., Taiwan); S.-J Shiau (National Chiao Tung University, Taiwan)
Development of the "System-on-glass" display with low temperature Poly-Si (LTPS) TFT has rapidly advanced recently. The display incorporated with nonvolatile memories especially becomes an attractive topic. In this conference, nonvolatile memories using low temperature poly-Si process with oxide-nitride-oxide (ONO) stack structure on glass was studied and fabricated. The memory window should be lager than 1V to meet the logic memory circuit. In order to program and erase process for memory, the operation of nonvolatile memory is giving differential bias combination on gate, source and drain. The traps of grain boundary in poly-Si, however, maybe degrade the performance in some operational mode. There could be some issue about reliability in low temperature poly-Si nonvolatile memory. Therefore, in this conference, we investigate various operational modes in N-channel and P-channel so as to search which operational mode is reliable. Using Fowler-Nordheim tunneling to program and hot holes injection to erase, we can realize the threshold voltage memory in 1.5V at P/E time of 100ms in P-channel low-temperature poly-Si. However, the devices maintain a 1.5V threshold voltage memory after 104 P/E cycles.
HP-16 Study on Electrical Degradation of N-Channel Poly-Si TFT under AC Stress by C-V Measurement
H.-Y. Lu, P.-T. Liu, I.-C. Lee (National Chiao Tung University, Taiwan); T.-C. Chang (National Sun Yat- Sen University, Taiwan); S. Chi (National Chiao Tung University, Taiwan)
As the mobility of poly-Si TFTs is further increased, this poly-Si technology will realize the system on panel (SOP) which will integrate memory, CPU, and display. However, the traps of grain structures play an important role for the electrical properties and stabilities of poly-Si TFTs. TFT devices in functional circuits serve as the switches and suffer the high-frequency voltage pulses. The degradation of n-channel poly-silicon thin film transistor (poly-Si TFT) has been investigated under dynamic voltage stress by capacitance-voltage (C-V) measurement. In C-V measurements, the fixed charges in the gate oxide film of TFTs are not affected by a small-applied signal, whereas the trap states in the bandgap respond to the applied frequency, so that the dominant degradation mechanism of poly-Si TFTs can be evaluated. Our experimental results showed that the degradation of n-type TFTs was caused by additional trap states located between gate and source/drain(S/D) in the poly-Si thin film.
HP-18 Study on Electrical Degradation of p-Type Low-Temperature Polycrystalline Silicon Thin Film Transistors with C-V Measurement Analysis
S.C. Huang, Y.-H. Kao, Y.H. Tai (National Chiao Tung University, Taiwan)
Laser recrystallized low temperature poly-silicon films have attracted attention for their application in thin-film transistors (TFTs), which are widely used in active matrix display. However, the degradation behaviors of p-type LTPS TFTs are not quite clarified yet. In this paper, the instability mechanisms of p-channel LTPS TFTs under various DC bias stress conditions have been investigated. It is found that the mobility increases while threshold voltage also increases under many stress conditions. This degradation behavior is most likely caused by the interface traps between poly-Si thin film and the junction of drain occurred during stress. In this work the assumption is further examined by C-V measurement. Our experimental results exhibits that the degradation of p-type TFTs is caused not only by the generation of states between the junction of drain and channel of poly silicon film, but also the fixed charges at the oxide and silicon interface.
HP-19 Statistical Study on the States in the Low-Temperature Poly-Silicon Films with Thin Film Transistors
S.Z. Huang, Y.P. Chou, Y.H. Tai (National Chiao Tung University, Taiwan)
Laser recrystallized low temperature poly-silicon films have attracted attention for their applications in thin-film transistors (TFTs), which are widely used in active matrix displays. The electrical characteristics of the poly-silicon film can vary because of the grain boundaries. In this work, the variation is statistically studied with the threshold voltage (Vth) and mobility of the TFTs. The threshold voltage and mobility of many closely-located TFTs are measured. These two parameters correspond to the deep states and tail states of the poly-silicon film, respectively. The threshold voltage exhibits the distribution in a Gaussian-Lorentzian cross product form. The Vth differences between many pairs of devices are calculated. It is found that the average of Vth difference increases with distance of the pairs, but the deviation is constant with distance of the pairs. On the other hand, the mobility exhibits an asymmetric distribution, and both the average and deviation of the mobility difference for pairs of devices do not change with the distance of the pairs. This result directly reflects the local fluctuations and the spatial trends of the deep and tail states in a poly-silicon film. The models describing Vth and mobility with different distance are also proposed.
HP-20 Electrical Characteristics of (Ba0.7Zr0.3)(Ti0.9Zr0.1)O3 Thin Films Deposited Using RF Magnetron Sputtering for Dynamic Random Access Memory Application
K.-H. Chen (National Sun Yat-Sen University, Taiwan)
Recently, many kinds of memory devices had been discussed, such as the dynamic random access memory (DRAMs), the flash memory, FeRAM, MRAM and etc. Among the volatile and nonvolatile memory devices, the non-destructive readout feature of higher density and storage capacity nonvolatile memory device will play an important role in the future. In this study, (Ba0.7Zr0.3)(Ti0.9Zr0.1)O3 ferroelectric thin film successfully as-deposited and annealed on Pt/Ti/SiO2/p-Si substrate using rf magnetron sputtering, and their electrical and physical characteristics would be investigated and discussed. The maximum dielectric constant and lower leakage current density of thin films were about 192 and 10-7 A/cm2 , as the optimal sputtering parameters, such as the power of 160 W, the chamber pressure of 10 mTorr, substrate temperature of 580°C and oxygen concentration of 25%. In addition, the excellent dielectric constant and leakage current density of as-deposited annealed under the rapid temperature annealing (RTA) and conventional furnace annealing (CFA) would be found, and those were 420 and 10-8A/cm2, respectively, as annealing temperature increased 700°C. Finally, the experimental results obtained indicated the correlation between the electrical characteristics and thin films deposited and annealed under different post-treatment process for larger storage capacity and higher density of dynamic random access memory devices in this study.
HP-21 Characteristics of Interfacial Bonding Distribution and Energy Band Gap of (Gdx Pr1-x )2O3 /GaAs(001) System
S.G. Choi, Hyung-H. Park (Yonsei University, Korea)
Over the last three decades, a variety of attempts to develop an insulator-GaAs system have been made with SiO2, Si3N4, CaF2, Al2O3, Ga2O3(Gd2O3) and so on, because of low leakage current and high dielectric strength. However, their applications were often restricted by the instability of the GaAs surface. Among the candidates for gate oxide on GaAs, epitaxial Gd2O3 films have been reported with the development of molecular beam epitaxy and ultra high vacuum process. However, it still exists a lattice mismatch approximately as +1.9% and -3.9% because of a long-range (3.528 nm x 1.535 nm) ordering of Gd2O3 (440) on GaAs (001) substrate. It could induce misfit dislocation at the interface and the pinning of Fermi level on the surface of GaAs. In this work, cation Gd in Gd2O3 is substituted with Pr, with a larger ionic radius than that of Gd, to control the lattice parameter of cubic C-type rare earth structure. Pr2O3 crystallizes with hexagonal structure in its stable form. Metastable cubic form has been reported with a lattice constant of 1.115 nm, 2.6% larger than that of Gd2O3 (a=1.087 nm). Therefore, it could be expected that small amounts of Pr2O3 should homogeneously alloy with Gd2O3, resulting in adjustable lattice constant. The electrical properties of metal-oxide-semiconductor(MOS) diodes were correlated with crystalline properties and band offset to demonstrate the feasibility for MOS field effect transistor application. Sulfur passivation was adopted to form an oxidation-proof layer during the formation of gate oxide films. The change of energy band structure was estimated using photoemission and absorption spectroscopy.
HP-22 Effect of Sulfuric Acid Treatment on Bioactivity of TiO2 Coatings
X. Zhao, X. Liu, C. Ding (Chinese Academy of Sciences, PR China); P.K. Chu (City University of Hong Kong, PR China)
In recent years, some ceramics, such as HA, wollastonite and bioglass (BG), were deposited onto titanium substrate using plasma spraying technology and exhibited an excellent application foreground as orthopedic and dental implant materials. However, these coatings often lead to a dissolution from the implants and result in failure or have a poor adhesion to substrate. Plasma sprayed TiO2 coatings on titanium alloy substrates as bond coatings and composite coatings have recently demonstrated promising corrosion behavior in vivo, acting as chemical barrier against the release of metal ions from the implant. TiO2 powders and films can exhibit bioactivity in many applications, but plasma-sprayed TiO2 coating is always bioinert. In this work, TiO2 coatings on titanium alloy substrates were prepared by atmospheric plasma spraying using commercial nano-powders. A chemical treatment method was employed to induce bioactivity on the TiO2 surface. As-sprayed coatings were treated using sulfuric acid (H2SO4) of different concentration at room temperature for 24 hours. The bioactivity of these H2SO4-treated TiO2 coatings were evaluated by investigating the formation of apatite on their surface after they were soaked in simulated body fluid for a period of time. The results obtained indicated that H2SO4-treated TiO2 coating can induce bone-like apatite formation on its surface but apatite cannot form on the surface of the as-sprayed TiO2 coating. The concentration of H2SO4 play an important role in bioactivity and the bioactivity was lost when the concentration of H2SO4 decrease to 0.01M. The formation of a hydrogenated surface which gives rise to negatively charged functional groups was thought to be the primary reason to induce bioactivity of TiO2 coating.
HP-23 Dissolution and Mineralization of Plasma-Sprayed Dicalcium Silicate/Zirconia Composite Coatings
Y. Xie, X. Liu, X. Zhao, C. Ding (Chinese Academy of Sciences, PR China); P.K. Chu (City University of Hong Kong, PR China)
Dicalcium silicate / Zirconia composite coatings were produced on Ti-6Al-4V substrates using atmospheric plasma spraying. The feedstocks consisted of mechanically blended powders of different dicalcium silicate to zirconia weight ratios (5:5, 3:7, and 1:9). The microstructure and phase composition of the three coatings were assessed by scanning electron microscopy and X-ray diffraction. The bioactivity of the coatings was evaluated in vitro by immersion in a simulated body fluid. The surface mineralization and dissolution behaviors in simulated body fluid were studied and the changes in the Ca, Si, and P concentrations were monitored by inductively-coupled plasma atomic emission spectroscopy. Our dissolution study in a Tris-HCl buffer demonstrated reduced dissolution rates and increased surface stability with higher zirconia contents. The rapid dissolution of dicalcium silicate resulted in a higher Ca concentration and rapid precipitation of bone-like apatite on the composite coating surfaces thereby expediting initial bone fixation. The results showed that the addition of zirconia that possesses high mechanical strength and anti-corrosion properties effectively improves the stability of the composite coatings and the long-term performance in a biological environment.
HP-24 Synthesis and Characteristics of Lanthanum Oxide Films by Dual Plasma Deposition and In Vitro Evaluation of Endothelial Cells Cultured on the Films
F.J. Jing, R.K.Y. Fu, X.B. Zhao (City University of Hong Kong, PR China); N. Huang (Southwest Jiaotong University, PR China); P.K. Chu (City University of Hong Kong, PR China)
Endothelialization of cardiovascular device surfaces has been regarded as an important means to prevent thrombogenicity. However, up to now, there have been few reports about the compatibility between rare-earth materials and blood. In this work, a rare earth oxide film, lanthanum oxide, was fabricated using dual plasma deposition and the influence of the film properties on the endothelial cell behavior was investigated. The structure, physicochemical characteristics, as well as surface biomedical compatibility were determined by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), and atomic force microscopy (AFM). The surface wettability and tension were characterized by contact angle measurement. Human umbilical vein endothelial (HUVE) cells were cultured on the surfaces of the as-deposited films. Scanning electron microscopy (SEM) and optical microscopy were used to evaluate the surface morphology of the endothelial cells on the surface of films. The results show that HUVE cells can adhere and proliferate on the surface of the lanthanum oxide films in vitro. This study suggests rare earth oxide films are potential blood-contacting biomedical materials.
HP-25 Non-Stick Coating for Clean Manufacturing
A. Mucha, R. Leopold (MAT PlasMATec, Germany)
The goal of "Clean Manufacturing" is to eliminate waste, aiming for zero waste, by integrating environmental best practices into the manufacturing process. Clean Manufacturing is a continuous preventive strategy, which concentrates on resources and manufacturing processes to eliminate waste. One of the biggest benefits to companies is that Clean reduces operating costs, especially in the areas of chemical management, wasted raw materials, waste collection and treatment, and pollution control. Clean increase the efficiency of the manufacturing process and the productivity of the company. It provides opportunities to reduce regulatory obligations, risks, and costs. The idea of implementing Clean Manufacturing could be suggested or encouraged by internal or external sources. A company's Lean team may require Clean Manufacturing techniques in order to achieve Lean goals. Recommendations for implementation of Clean can also come from outreach engineers and other organizations such as the Department of Environmental Protection. Finally, there's the driving force behind much of what a manufacturer does - the client requests it! The results based on a project together with a big German Engine Manufacturer for Cars. The main goal was the reduction of chip sticking in the inner part of a machine tool. New coatings and surface modifications have been applied. With standard coatings (modified DLC) as well as new coatings based on nanoscale metallic fluoride powders - the amount of sticked chips at the sheet metal surface after machining of steel and aluminum alloy was reduced up to 45%. The machining results are compared with laboratory tests and also with the measurement of surface energy of the coatings in relation with four selected lubricants.
HP-26 Thickness Dependence of AlN Properties for Various Substrate Materials
B.H. Kim, J.S. Park (Hanyang University, Korea)
A film bulk acoustic resonator (FBAR) has become one of the most promising components for the realization of microwave monolithic integrated circuits, especially in high-frequency passive device application to the GHz-band wireless communication systems. The most critical factor that determines the characteristics of the FBAR is the material property of piezoelectric thin films. Furthermore, the higher-frequency (3 ~ 5 GHz or above) operation of the FBAR requires that the thickness of the piezoelectric film should be reduced to about 1 µm or below. It has been reported that decreasing the thickness of piezoelectric films may cause their piezoelectric properties to get worse. In this aspect, the importance of the structural stability that confirms the excellent piezoelectric property at the reduced film thickness becomes increased. However, there have been few studies regarding this issue. In this research, we adopt the polycrystalline AlN as a piezoelectric film in the FBAR and investigate the thickness dependence of AlN properties. In addition, the structural stability of AlN films has also been characterized by varying the substrate materials which have different physical, chemical, and structural properties, such as base Si, thermally grown SiO2-coated Si, and metal-coated Si. AlN films are deposited using RF reactive magnetron sputtering. The metal-coated Si substrates are prepared by depositing the metals of Al, Cu, Ti, and Mo using DC magnetron sputtering. Measurements of XRD, FE-SEM, and AFM show that the AlN film deposited on the SiO2-coated Si substrate exhibits the relatively higher (002)-texture coefficient, the smaller crystallite size, the smaller surface roughness, and the higher stability, compared with other films deposited on Si or metal-coated substrates. The frequency response characteristics of AlN-based resonators are measured as a function of AlN thickness and also compared in terms of the various substrates used.
HP-27 Ta Nanopillar Arrays Grown by Glancing Angle Deposition
C.M. Zhou, D. Gall (Rensselaer Polytechnic Institute)
Periodic arrays of Ta nanopillars, 200 nm wide and 500 nm tall, were grown onto patterned substrates by Glancing Angle sputter Deposition (GLAD) at growth temperatures Ts ranging from 200 to 900°C. The Si substrates were patterned using a colloidal suspension of 280-nm-diameter silica spheres that was dispersed to form a two-dimensional close-packed monolayer. Ta was deposited in a 3.0 mTorr Ar discharge onto the patterned substrates that were continuously rotated about the polar axis to form regular arrays of separated Ta nanopillars. The azimuthal deposition angle, 84° with respect to the surface normal, was kept constant and the growth mode was investigated as a function of Ts. Scanning and transmission electron microscopy analyses show that the area-density of nanopillars decreases, from 13.9 µm-2 to 7.5 µm-2 to 6.0 µm-2, for Ts increasing from 200 to 500 to 700°C, respectively. This is attributed to a transition to a competitive growth mode at elevated temperatures, which leads to the overgrowth of some pillars at the cost of others which die out, as well as an increased probability of merging of neighboring pillars and an increase in the average pillar width. In addition, the growth temperature affects surface faceting and pillar branching and is a promising parameter to control the shape and morphology of GLAD nanostructures.
HP-28 Mechanical Properties of Glass Film Deposited on Ion Beam Modified Polymers
A. Ide-Ektessabi, N. Kobayashi, S.-H. Hsiao, T. Yamaguchi, Y. Tanaka (Kyoto University, Japan)
The organic electroluminescence (EL) displays using polymers as substrate have many attractive features. For example, they are ultrathin and light, in addition, it will be realized the flexible display to utilize the flexibility of the substrate. In flexible displays, the organic EL must be covered with a thin passivation film because the organic EL is very sensitive to moisture and oxygen. In this study polyethylene terephthalate (PET) is chosen as a substrate and thin glass is chosen as a thin film. Thin glass film solves the problem of moisture and oxygen incorporation into the EL film. However, it has poor adhesion to the substrate. The modification of PET surface can improve the film adhesion. The experimental system consists of an ion source for irradiation and an electron gun for evaporation of the material for the to-be-deposited film. The ion beam is irradiated onto the PET surface while glass is deposited onto the surface. Argon, Oxygen and Nitrogen ion beams were irradiated onto PET films. The adhesion tests demonstrate that PET surface modified by ion beam irradiation has a superior adhesion compared to unmodified one. It is known that the adhesion is related to the surface structure. The surface chemical structure was investigated using X-Ray Photoelectron Spectroscopy (XPS) and the surface nano-morphology was investigated using Atomic Force Microscope (AFM).
HP-30 Influence of Thermal Treatment of Low Dielectric Constant SiOC(-H) Films Using MTES/O2 Deposited by PECVD
R. Navamathavan, C.S. Yang, S.H. Kim, Y.J. Jang, H.J. Lee, K.M. Lee, H.Y. Young, C.K. Choi (Cheju National University, Korea)
Recently, according to an increase in demand for higher integration degree of a semiconductor device, a multi-layer wiring technology has become hot topic. In the multi-layer wiring structure, a bottleneck against high speed operation of elements is capacitance between wires. In order to decrease the capacitance between wires, it is necessary to reduce the dielectric constant (or relative dielectric constant) of an interlayer insulating film. So there is driving demand for researchers to develop the new materials with low dielectric constant to solve the shortcomings in the ULSI technology. In this paper, the low dielectric constant SiOC(-H) film are deposited on p-type Si (100) substrates by plasma enhanced chemical vapor deposition (PECVD) using methyltriethoxysilane (MTES; C7H18O3Si) and oxygen gas as precursors. Film thickness and refractive index are measured by field emission scanning electron microscopy and ellipsometry, respectively. The SiOC(-H) films are deposited at room temperature and then annealing treatment are performed at 200, 300, 400 and 500 °C temperatures for 30 min in an argon ambient. Bonding characteristics of as-deposited and annealed films are investigated by Fourier transform infrared (FTIR) spectroscopy in the absorbance mode. As more carbon atoms are incorporated into the SiOC(-H) films, both film density and refractive index are decreased due to nano pore structure of the film. In the SiOC(-H) film, CH3 group as an end group is introduced into -O-Si-O- network, thereby reducing the density to decrease the dielectric constant thereof. The dielectric constant of SiOC(-H) film is evaluated by C-V measurements using metal-insulator-semiconductor (MIS), Al/SiOC(-H)/p-Si structure and it is found to be as low as 2.4 for annealed samples.
HP-31 Characterization of Short-Pulse Magnetron Discharges Using Time-Resolved Probe Diagnostics
S.-H. Seo, J.-H. In, H.-Y. Chang (Korea Advanced Institute of Science and Technology, Korea); J.-G. Han (Sungkyunkwan University, Korea)
Spatiotemporal evolution of electron energy distribution function (EEDF) and plasma parameters has been investigated using spatially and temporally resolved single Langmuir probe measurements in mid-frequency, short-pulse magnetron discharges using various operating gases. With the pulsed discharge of short duty cycle, we could obtain a peak electron temperature more than 10 eV during the early phase of the pulse-on near the cathode fall region, which is about 3 times higher than the steady state value of electron temperature in CW discharge. The temporal evolution of the measured EEDFs shows the initial efficient electron heating during the early phase of the pulse-on and the subsequent relaxation of electron energy by inelastic collisions and diffusive loss. High-energy electrons generated during the pulse-on phase diffuse the downstream region toward the grounded substrate, resulting in a bi-Maxwellian EEDF composed of background low-energy electrons and high-energy electrons. The results of spatially and temporally resolved probe measurements are presented and the enhanced efficiency of electron heating in the short-pulse discharge is explained on the basis of the global model of pulsed discharge. Also, manipulating the process parameters such as the gas composition, the repetition frequency and the duty cycle of the cathode pulse, and the operating mode, we could find the process window which shows the achievable range of the plasma parameters such as the electron density and temperature in the pulsed magnetron discharges.
HP-33 Investigation on Reliability and Electrical Analysis of a-Si:H Thin Film Transistor Used in Flexible Display
S.-W. Tsao, T.-C. Chang (National Sun Yat-Sen University, Taiwan); P.-T. Liu (National Chiao Tung University, Taiwan); Y.-J. Kuo, C.-F. Weng, M.-C. Wang (National Sun Yat-Sen University, Taiwan)
Based on the convenience of the use, the traditional display will be replace by the flexible display. According to this reason, it is very important to study on the reliability of the amorphous silicon (a-Si:H) thin-film transistor (TFT) used in LCD under different mechanical strain. In this research, besides of the above-mentioned we also applied AC stress, to understand the influence of AC stress on an a-Si:H TFT under different mechanical strain. The influence of mechanical strain on the performance of an hydrogenated amorphous silicon (a-Si:H) thin-film transistor (TFT) with different channel length and width on metal foil substrate under uniaxial compressive or tensile strain was studied, where the strain is parallel to the TFT source-drain current path. The process of TFT with the maximum temperature 190°C exhibited a field-effect mobility of 0.1 cm2/Vs and a threshold voltage of 1.95 V and the leakage current of less than 10-13 A. The TFTs were strained by inward (compression) or outward (tension) cylindrical bending. The mobility had a slightly change under the mechanical strain, which was due to the change in the disorder under bending strain. We also researched on the influence of uniaxial compressive (tensile) strain on the performance of a-Si:H TFTs under different AC stress conditions. When the a-Si:H TFTs were strained and applied AC stress, we found the performance of a-Si:H TFTs were affected more then the flat ones.
HP-34 Investigation on Reliability and Electrical Analysis of 65nm MOSFETs Under External Mechanical Stress
Y.-J. Kuo, T.-C. Chang (National Sun Yat-Sen University, Taiwan); P.-T. Liu (National Chiao Tung University, Taiwan); C.-F. Weng, S.-W. Tsao (National Sun Yat-Sen University, Taiwan)
Semiconductor technology has already got into nanometer scale. As the dimension keeping scale down, we can get more transistor in the same area, and furthermore the frequency and performance are also enhanced. But nowadays the development of the lithography technology has come to the neck, we must find the other way to improve the performance of transistor. In this study, the strained silicon effect and reliability of CMOS are fully discussed. In order to obtain strain in the channel of MOSFETs, silicon substrate is bent by applying external mechanical stress, the lattice of channel will have strain due to uniaxial tensile stress. By this way, we successfully improve drain current and mobility of n-MOSFETs into 22% and 30%, respectively. An important factor for the electron mobility enhancement is the introduction of large sub-band energy splitting between the 2- and 4-fold valleys. But there is no variation for p-MOSFETs. In addition, the hot carrier effect of MOSFETs with strained channel is investigated by D.C stress. In this work, because external mechanical stress cause bond get weaker, both n-MOSFETs and p-MOSFETs show the same behavior. The reliability of n-MOSFETs and p-MOSFETs decrease with increasing bending curvature.
HP-35 Investigation on Reliability of Poly-Silicon Thin-Film Transistor
C.-F. Weng, T.-C. Chang (National Sun Yat-Sen University, Taiwan); P.-T. Liu (National Chiao Tung University, Taiwan); Y.-C. Kuo (Tung Nan Institute of Technology, Taiwan); S.-W. Tsao (National Sun Yat-Sen University, Taiwan); M.-C. Wang (National Tsing Hua University, Taiwan); H.-H. Wu (Institute of Electronics of Electro-Optical Engineering, Taiwan)
The major advantage of poly-Si technology is the high field effective mobility and the integration of function circuits on the panel. With the advanced excimer laser crystallization technology(Heat-Retaining Enhanced Crystallization), the position of grain boundary in poly-Si TFT can be well controlled. In this work, the influences of grain boundary on poly-Si TFT have been investigated by hot carrier stress. The poly- Si TFT without GB owns the high driving capability, but the poor ability against the hot carrier stress. The tail states produced in the poly-Si film are responsible for degradation during the stress. On the contrary, the poly-Si TFT with GB in the channel has lower mobility but outstanding endurance for hot carrier stress. The reduction of lateral electric field is responsible for this phenomenon .
HP-36 Characterization of Single Wall Carbon Nanotube-Reinforced Polycyanruate Nanocomposites
A.R. Hopkins, R.A. Lipeles (The Aerospace Corporation)
SWNTs were purified using an acid treatment with subsequent ultrasonic agitation to yield a homogenous dispersion of these carbon nanotubes. The solubility of the resulting samples in acetone, as reflected in the solution color uniformity and stability (no phase separation for many weeks) provided visual indication of the homogenous nature of these tubes. Using only a 0.54% (vol/vol) loading, the resulting composite exhibited an unprecedented 120% increase in flexural modulus over neat polycyanurate. Using chemically similar reinforcement materials such as graphite and carbon black with a similar 0.5 % loading, the modulus was enhanced but not to the extent displayed by the SWNTs. The nearly obtained theoretical modulus for SWNT/ polycyanurates can be interpreted in terms of the efficiency of SWNT dispersion in a chemically similar host material. This data has provided a roadmap to find the optimum SWNT preparation and dispersion for polymer composite reinforcement.
Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2006 Schedule