ICMCTF2004 Session H3-2: Thin Films for Next Generation Devices

Thursday, April 22, 2004 1:30 PM in Room Royal Palm 1-3

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic H Sessions | Time Periods | Topics | ICMCTF2004 Schedule

Start Invited? Item
1:30 PM H3-2-1 Advances in AlN Thin Film Coatings and Multilayers for Hig-Temperature Power Electronics and MEMS
R.D. Vispute, S. Hullavarad (University of Maryland); Takahiro Nakahigashi (Nippon ITF, Inc., Japan); V.N. Kulmarni, T. Venkatesan (University of Maryland); K. Jones, A.E. Wickenden (US Army Research Laboratory); J. Leme (Universidade do Minho, Portugal)
In my talk I will discuss recent advances in AlN based coatings, thin films, and multilayers for electronic, power semiconductor, and MEMs applications. The major focus of the presentation will be on critical issues of deposition techniques, process-structure-property relationships, and post processing effects on AlN/SiC based power semiconductor devices and Si based MEMS. Our AlN thin films, conformal coatings, and AlN/BN multilayers have been grown by using pulsed laser deposition (PLD), and sputtering techniques. The AlN films grown above a critical temperature (600°C) on SiC were epitaxial with c-axis normal to the substrate surface. The ion channeling minimum yields near the surface region for the epitaxial AlN films were ~ 4%, indicating their high degree of crystallinity and a good epitaxy of AlN with SiC. The smooth surface morphology with rms roughness of about 0.5 nm, which is close to the unit cell height of the AlN, indicates 2-dimensional growth process in the pulsed laser deposition. These smooth layers have been exploited for "capping and annealing" processes to remove the ion implantation damage in SiC without causing alterations in surface morphology and composition due to high temperature annealing that is usually required for n and p-type doping via ion implantation for device fabrication. AlN film as an alternate dielectric to SiO2 has also been studied as it has good lattice match with the SiC and higher dielectric constant than SiO2. PLD AlN films showed high electrical breakdown strength of over 2?/cm at 450°C with leakage currents of 10-3A/cm2 attributed to Schottky mechanism. Using high pressure deposition conditions, AlN coating on trench structures etched into 2" Si and SiC wafers, has also been realized for device passivation. Highly oriented AlN thin films have also been grown on Pt/SiO2/Si for MEMS and NEMS resonator devices, since these films have a non-centro-symetric structure and hence potential for piezoelectric devices with theoretical maximum resonant frequencies in the GHz range. Resonating devices made by using PLD AlN films have been observed to result in significantly greater displacement, lower actuation voltage, and higher device Q than equivalent devices made with sputtered AlN films. The issues related to the process, processing conditions, buffer layers, interfacial stress, lattice-mismatch, defects and their effects on the evolution of epitaxial and oriented thin films and structure along with mechanical and electrical properties, will be discussed. Applications of these coatings fabricated under optimum conditions for power electronics and MEMs will be highlighted.
2:10 PM H3-2-3 Experimental and Finite Element Analysis Modeling of the In-plane Tip Deflection Characteristics of an Electrothermal MEMS Actuator
E.S. Kolesar, A.J. Jayachandran, W.E. Odom, M.D. Ruff (Texas Christian University)
Several microactuator technologies have been investigated for positioning individual elements in large-scale microelectromechanical systems (MEMS). This research focuses on the design and finite element analysis (FEA) performance modeling of symmetrical and asymmetrical electrothermal actuators. The motivation is to present a unified description of the behavior of the electrothermal actuator so that it can be adapted to a variety of microsensor and microactuator applications. The MEMS polysilicon surface micromachined electrothermal actuator uses resistive (Joule) heating to generate thermal expansion and movement. In the traditional asymmetrical electrothermal actuator design, the single-hot arm is narrower than the cold arm, and thus, the electrical resistance of the hot arm is greater. When an electrical current passes through the device (both the hot and cold arms), the hot arm is heated to a higher temperature than the cold arm. This temperature differential causes the hot arm to expand along its length, thus forcing the tip of the device to rotate about the flexure. Another variant of the asymmetrical design features a double-hot arm arrangement that eliminates the parasitic electrical resistance of the cold arm. In this design, the rotating cold arm can have a narrower flexure compared to the flexure in the traditional single-hot arm device because it no longer needs to conduct an electrical current. This research compares the computed FEA tip deflection performance of the asymmetrical single- and double-hot arm electrothermal actuator designs. Experimental tip deflection measurements of both actuator designs as a function of arm length and applied electrical power are presented. The electrothermal actuator designs were accomplished with the MEMSPro CAD software program, they were modeled with the IntelliSuite MEMS FEA software program, and the devices were fabricated using the MEMSCAP PolyMUMPS foundry.
2:30 PM H3-2-4 Preparation of Chromium Titanium Oxide and Tungstic Oxide Gas Sensors via APCVD / AACVD Modelling of Gas Response to Microstructure
I.P. Parkin, G. Shaw (University College London, United Kingdom)
We report chemical vapour deposition of thin film gas sensing materials (CTO and tungstic oxide) onto sensor substrates. The syntheses afford a simple, reproducible and high throughput route to substrate adherent films with varied and controlled microstructure and stoichiometry. Film characterisation was carried out using SEM, Raman spectroscopy, EDXA, XPS and determination of the activation energy of conductance. Thin films (< 3 microns) of titanium doped chromium (III) oxide (0.01 < Ti atm % < 0.15) was deposited via APCVD, from the reaction of chromyl chloride and titanium tetrachloride in the presence of an oxygen source (ethylacetate, MeOH). Choride contamination (ca. 5 %) was removed by annealing the films (600°C; 1 h) under a flow of 5 % hydrogen in nitrogen, resulting in dense, single phase CTO. The gas response of these films to EtOH (80 ppm, 300-400°C) is reported for varying film thickness (500-1500 nm). Thin films of reduced tungstic oxide were prepared by aerosol assisted chemical vapour deposition of tungsten hexaphenoxide in toluene at 400-600°C. Carrier gas (nitrogen) flows were at ambient temperature, with deposition occurring directly onto self-heated substrates in the presence of an electric field (< 1000 V/cm). On-chip annealing in oxygen (600°C; 16 h) afforded fully oxidised tungstic oxide films suitable for the detection of low gas concentrations (ca. 1 ppm EtOH). Control of reaction conditions afforded a range of specific microstructures, from thin solid films to dense fibrous growth. We also show how variations in gas reponse of different microstructures to varying concentrations of target gas can be explained by a simple three element equivalent circuit model. Thick polycrystalline CTO films of varying particle size were prepared by screen-printing; thin, dense continuous films, with limited grain boundaries, via APCVD and dense solid films via flame fusion. Varied microstructures of tungstic oxide were prepared directly from AACVD.
2:50 PM H3-2-5 Electrical and Piezoresistance Properties of TaN-Cu Nanocomposite Thin Films
J.H. Hsieh, C.M. Wang, C. Li (Nanyang Tech. University, Singapore)
TaN-Cu nanocomposite thin films with copper as the nanoparticles dispersed in tantalum nitride matrix were fabricated by d.c. reactive co-sputtering. After deposition, thin films were annealed using rapid thermal processing (RTP) at 400°C for 2, 4, 8 minutes in Ar atmosphere, causing the emergence and growth of the Cu nano-particles. The resistivity and temperature corfficient of resistivity (TCR) were then studied as a function of annealing time. It is found that the emergence of Cu nano-particles can decrease or increase the negative TCR value of TaN, depending on the particle size and Cu content. Strain gauge factors were measured on the films with near-zero TCR values. The gauge factor was found affected by particle size, nitrogen and Cu concentrations. XRD, TEM, SEM and high temperature four-point probe were used to characterize the microstructure and electrical properties of both as-deposited and annealed TaN-Cu thin films.
3:10 PM H3-2-6 Development of Thin ZnO Films on (100) Silicon for Surface Acoustic Wave Devices
A.N. Chryssis, S. Krishnamoorthy, A.A. Iliadis (University of Maryland); U. Lee (Army Research Laboratory)

ZnO thin films were deposited on Si (100) substrates using Pulsed Laser Deposition at 600C and an oxygen pressure of 1e-4 Torr. Buffer layers of ZnO and ZnO/Indium Tin Oxide (ITO) alternating layers were grown on Si and the ZnO epitaxial layer quality was evaluated with respect to the inherent lattice mismatch between ZnO and Si, in order to improve film quality for SAW device applications. High resolution x-ray photoelectron spectroscopy (XPS) indicated a binding energy of Zn(2p3) at 1021.4 eV and O(1s) at 530.5eV and ascertained the film composition. The quality of crystalline growth was determined using X-ray diffraction (XRD) and photoluminescence measurements. SAW filters having inter-digitated (IDT) finger widths of 1um and finger spacing of 2.5 um were fabricated on films with different buffer layers. The input and output IDTs were composed of a 500um thick layer of Al, which were deposited using e-beam evaporation. Scanning electron microscopy (SEM) images indicated the high quality photolithography. The center frequency of the SAW filters has been found to be in the GHz range due to improved ZnO film quality on Si(100) substrate. The device is capable of ultra high frequency response for secure mobile communication systems and high performance sensors.

The support of NSF through grant ECS0302494 is acknowledged.

3:30 PM H3-2-7 Bax√sub 1-xTiO3 Based ThinFIlms for Tunable Applications
E. Ngo (Army Research Laboratory)
Ferroelectric thin films have been recognized for their unique dielectric properties and, appear to be desirable for tunable microwave device applications. Among the most promising candidates for such applications are Bax√sub 1-xTiO3 [BST] and BST-based thin films. In this work pure BST and acceptor doped BST-based thin films were fabricated on (100) MgO substrate via pulsed laser deposition [PLD]. X-ray diffraction (XRD) in conjunction with the atomic force microscope (AFM) was used to analyze the optimized post-deposition annealing temperature. The dielectric properties were characterized at both 100 kHz and 10 GHz. The MIM capacitor configuration was used to attain the dielectric properties at 100 kHz and the microwave measurements, S11 reflection parameters, were achieved via interdigitated capacitor design with Au/Ag top electrodes. The parallel resistor-capacitor models were used to determine the microwave capacitance and Q factors of the films and the permittivity was calculated using a modified conformal-mapping partial-capacitance method using the dimension of the capacitors. The low frequency and microwave frequency dielectric properties were strongly influenced by the film composition. Specifically, the Mg doping served to lower the dissipation factor, permittivity, and tunability of the BST based films at both frequencies. Results of this work demonstrate that the acceptor doped thin films possessed excellent microstructural, structural, and dielectric properties. The structure-process-property correlations of the pulsed laser deposited BST and acceptor doped BST-based thin films will be presented and discussed in detail.
3:50 PM H3-2-8 Preparation and Characterization of RF-Sputtered Perovskite Sr-doped Lanthanum Cuprate Thin Films on Substrates
H.C. Yu, Y.H. Chen, C.L. Liao, K.Z. Fung (National Cheng Kung University, Taiwan, R.O.C.)
The tetragonal perovskite lanthanum cuprate with compositions La1-x√sub xCuO2.5-δ exhibits metallic and ionic conduction. Thus La1-x√sub xCuO2.5-δ (LSCu) may be used as the electrode materials for high operating temperature fuel cell, catalysts or sensors. However, this perovskite oxide was found to be stable in a narrow range of 20%~25% strontium additions (0.15≤x≤0.25). The structure stability of LSCu film is greatly affected by the oxygen partial pressure during sputtering and heat treatment. This is because the structure stability of LSCu is greatly affected by the valent state of cupper ions. Therefore, in the preparation of LSCu thin film, the composition of target materials, the sputtering atmosphere, and the heat treatment temperature were carefully studied. In this research, the LSCu thin film was deposited on a YSZ substrate. The single tetragonal perovskite phase was obtained when the sample was heat treatment at 750°C in oxygen atmosphere for 3 hours. The structure of LSCu was identified by X-ray diffraction (XRD). The chemical composition of LSCu film was analyzed using inductively coupled plasma-atomic emission spectrometry (ICP-AES), and electron spectroscopy (ESCA). The electrical and surface properties of LSCu film were characterized by four-point probe analyses, SEM and TEM observation.
4:10 PM H3-2-9 Thermal Stability and Bonding Configuration of Fluorine-modified Low-k SiOC:H Composite Films
S.K. JangJian (National Cheng Kung University, Taiwan, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); J.-L. Huang (National Cheng Kung University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.)
Fluorine-modified organosilicate glass (F-SiOC:H) and OSG films were deposited for comparison at various temperatures (250~400) by plasma enhanced chemical vapor deposition method using tetrafluorosilane reacts with trimethelysilane and oxygen. The as-deposited films were subsequently annealed for seven times at 400/30min for each time to study the effect of the thermal budget on the inter-metal dielectric (IMD) in current IC scheme. The films were investigated by examining the evolution of bonding configuration, index of refraction, surface composition, hardness, leakage current density and breakdown field strength upon annealing. The absorption spectra of Fourier transform infrared spectroscopy (FTIR) shows that the frequency of the SiO stretching vibration mode in the F-SiOC:H films shifted to higher wave number (blueshift) with the increase of fluorine incorporation, while that shifted to lower wave number (redshift) upon annealing. In addition, the FTIR results indicate the absence of methyl group in the annealed films, which coincide with the X-ray photoelectron spectroscopy (XPS) analysis. The dielectric constant value of the annealed F-SiOC:H films is higher than that of the as-deposited films, however, it is still lower than that of the annealed OSG films. The fluorine incorporation in the films leads to a more perfect network structure, which then causes a higher hardness and breakdown voltage strength. Comparing these results to those obtained from the OSG films, the mechanical strength of the F-SiOC:H films is improved by the introduction of fluorine, while the dielectric constant is maintained or lowered.
4:30 PM H3-2-10 The Interfacial Investigation of High-K Materials HfO2 on Si Substrate
T.C. Chang (National Sun Yat-Sen University, Taiwan, R.O.C.); S.C. Chen (National Chiao Tung University, Taiwan, R.O.C.); C.H. Chien, P.T. Liu (National Nano Device Laboratory, Taiwan, R.O.C.); J.-C. Lou (National Chiao Tung University, Taiwan, R.O.C.)
Hafnium oxide (HfO2) is the most potential high-k material. It has the higher dielectric constant, higher barrier height (1.6eV for electrons, and 3.4eV for holes), and excellent stability. In our experiments, the variation of leakage current, hump in C-V curves, interfacial layer increasing, and electron trapping are observed and investigated in un-surface treatment HfO2 samples. The rapid thermal oxide (RTO) and NH3 surface treatments both can improve the C-V curves. Moreover, the lower leakage current is observed in NH3 surface treatment samples. The results of stress induce leakage current (SILC) measurements show the severe electron trapping under the high electric field stress. The reliabilities can be improved by the RTO surface treatment, and the dielectric breakdown much depends on the quality of the interfacial layer. The conduction mechanism in the HfO2 thin film is dominated by the Frenkel-Poole emission.
4:50 PM H3-2-11 Synthesis and Properties of 6FDA-Bis-A-AF-PPD Copolyimides
C.Y. Yang, J.S. Chen (National Cheng-Kung University, Taiwan, R.O.C.)
Fluorinated-polyimides were synthesized from different ratios of 2,2'-bis-(3,4-dicarboxyphenyl) hexafluoropropane dianhydride (6FDA), 2,2'-bis-(4-aminophenyl) hexafluoropropane (Bis-A-AF), and p-phenylene diamine (PPD). The polyimide precursors (polyamic acids) were spin-coated on the silicon wafer and then cured at 300°C for 1hr to form polyimides. A metal-insulator-metal(MIM) structure was used to measure the dielectric constants of polyimide. Fourier transform infrared spectrometry (FTIR), nuclear magnetic resonance spectroscopy (NMR), differential scanning calorimetry (DSC), thermogravimetry (TG) and tensile test were employed to measure the chemical structure, thermal, and mechanical properties of polyimides. It was observed that the dielectric constants of polyimides decreased with increasing fluorine content. The glass transition temperature and thermal stability were also improved with the introduction of the fluorine atoms. The effects of fluorine content on polyimide thin film properties and metal/polyimide interface were also discussed.
Time Period ThA Sessions | Abstract Timeline | Topic H Sessions | Time Periods | Topics | ICMCTF2004 Schedule