ICMCTF2004 Session HP: Symposium H Poster Session

Thursday, April 22, 2004 5:00 PM in Room San Diego

Thursday Afternoon

Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2004 Schedule

HP-1 The Growth of SiGe Quantum Rings in Au Thin Films inEpitaxial SiGe on Silicon
J.H. He, C.H. Chiu, T.H. Wu, Y.L. Chueh, W.W. Wu, S.W. Lee (National Tsing Hua University, Taiwan, R.O.C.); L.J. Chen (National Tsing Hua University, Taiwan, ROC)
Synthesis of self-assembled ring-like nanostructures on epitaxial Si0.8Ge0.2 alloys on silicon has been achieved by thermal annealing of the samples with capping gold layer at 1100 in N2 ambient. Ring-like nanostructures have a narrow distribution of diameter and height with a mean of 27.9 and 1.53 nm, respectively. The shape and size of ring-like nanostructure were characterized by atomic force microscopy. The morphology of ring-like nanostructures was observed by using scanning electron microscopy and transmission electron microscopy. In order to determine the nature of ring-like nanostructures, energy dispersive X-ray spectroscopy was carried out. The method to synthesize self-assembled nanostructures provides a new scheme to fabricate quantum ring structures.
HP-2 Self-forming Silicide/SiGe-based Micro- and Nano-tubes on Si (001) Substrates
H.C. Chen, K.F. Liao, S.W. Lee (National Tsing Hua University, Taiwan, R.O.C.); L.J. Chen (National Tsing Hua University, Taiwan, ROC)
Silicide/SiGe-based micro- and nano-tubes were fabricated onto substrate surfaces by precise transformations from two-dimensional structures to three-dimensional objects. By using the strain in a pair of lattice-mismatched epitaxy layers, a method was developed to create micro- and nano-tubes released from a substrate. A new structure combining semiconductor (SiGe) and metallic silicide (NiSi2) into a single micro- and nano-tubes was achieved. Micro- and nano-tubes integrating semiconductors and metallic materials may allow novel application in electron devices.
HP-3 Wettability of Surface-modified Polymeric Substrates: Dependencies on Surface Morphologies and Compositions
K. Teshima (Dai Nippon Printing Co.,Ltd., Japan); Y. Inoue, H. Sugimura, O. Takai (Nagoya University, Japan); A. Takano (Dai Nippon Printing Co.,Ltd., Japan)

Various methods have been developed to control wettability of polymer surface. Wettability of solid surfaces with water is known to be governed by the two factors, that is, surface composition and morphology. An ideally flat surface covered with regularly aligned and closely packed-CF3 groups shows a water contact angle of about 120°. Such a surface has the lowest surface energy among all the solid surface. An appropriate surface texture is necessary in order to produce ultra water-repellency. In this study, we report on controlling the wettability of polymeric substrates by a two-step dry process. In particular, the water-repellency of the surface-modified polymeric substrates is discussed in terms of their nanotextures and chemical compositions.

First, polymeric substrates were treated with oxygen plasma in order to provide a proper nanotexture. The root mean square roughness of the surface was estimated to be approximately 10 nm. Subsequently, a hydrophobic layer was coated on the nanotextured polymer surfaces by two kinds of CVD, that is, thermal CVD or plasma-enhanced CVD via organosilane molecules. After these CVD, alkyl- or fluoro-functional groups were introduced on the surfaces. By combining the nanotexturing and hydrophobic coating, the polymeric substrates became ultra water-repellent so as to show a water contact angle above 150°.

HP-4 Orientation Control of Metal Films on Amorphous Substrate by Sputtering using Ar/N2 Gas Mixtures
M. Kawamura, Y. Abe, K. Sasaki (Kitami Institute of Technology, Japan)

In general, metal films are deposited in pure Ar gas by sputtering method. On amorphous substrate, films of fcc structured metals tend to show (111) texture to minimize the surface energy of the films. However, an influence of O2 addition into the sputtering chamber on obtained film texture has been reported for some platinum group metals. For example, (100) textured Pt films were obtained under selected conditions. We have found a drastic orientation change of Ni films by addition of N2 into the sputtering chamber.

A conventional RF sputtering apparatus with a Ni target was used for the deposition. Glass substrate either water-cooled(RT) or heated at 200°C was used and total flow rate of Ar and N2 was fixed to 3.5 cc/min. For the obtained films, crystal structure, chemical analysis and electrical properties were investigated.

At RT, single oriented (111)Ni film was formed by sputtering in pure Ar gas. At 200°C of substrate temperature, both (111) and (100) texture were observed in a film deposited in pure Ar.  By introducing a small amount of N2 into sputtering chamber, almost single oriented (100)Ni films were obtained until 6% of nitrogen flow ratio. No incorporation of N2 into the Ni films was confirmed by Auger electron spectroscopy and also measurement of electrical properties.  Then, nitride phase appeared at 12% of N2 flow ratio. Consequently we found that orientation of Ni films is controllable by making use of the effect of N2 addition. Films of other metals(Ag, Cu) are also under investigation.

HP-5 NbS2 Thin Films by Atmospheric Pressure Chemical Vapour Deposition and the Formation of a New 1T Polytype
E.S. Peters, C.J. Carmalt, I.P. Parkin (University College London, United Kingdom)

Niobium sulfides have been widely studied due to unique optical and magnetic properties, a consequence of their layer structure. This structure also allows intercalation into the van der Waal’s gap between the layers enabling applications such as in rechargeable batteries where Li ions are intercalated. In addition, niobium sulfide has been found to be a good humidity sensor. These applications require large surface areas making thin films ideal. However, there have been very few reports of thin film deposition of pure niobium disulfide and no previous reports by CVD.

Niobium disulfide films have been deposited on glass from NbCl5 and a range of sulfur precursors (S(SiMe3)2, tBuSStBu, HSCH2CH2SH, tBuSH). The effect of the different sulfur sources was large, the sulfides producing a new 1T-NbS2 polytype while the thiols gave 2H-NbS2. A comparison of the effects of precursor type and temperature on the phase of niobium sulfide produced is presented.

HP-6 Thin Film Morphologies of Tungstic Oxide Sensors Prepared by AACVD of Tungsten Hexaphenoxide
I.P. Parkin, G. Shaw (University College London, United Kingdom)
Aerosol assisted chemical vapour deposition (AACVD) of tungsten hexaphenoxide at 400-600°C has been used to afford substrate adherent, thin film tungstic oxide for purposes of preparing simple devices for the detection of low concentrations of both reducing and oxidising gases at elevated temperatures. To this end, each blank sensor consisted of a 3 mm by 3 mm alumina substrate onto which was screen-printed gold electrodes, photo-etched with an inter-digitated pattern. The reverse side of the sensors held a platinum heater track that formed one arm of a Wheatstone bridge, allowing the resistance, and so the substrate temperature, to be both programmed and regulated. Therefore, using a reducing atmosphere with carrier gas (nitrogen) at ambient temperature, deposition of reduced tungstic oxide from aerosols containing tungsten hexaphenoxide occurred directly onto self-heated sensor substrates (400 - 600°C) in the presence of an electric field (< 1000 V/cm). On-chip annealing in oxygen (600°C for 16 h) afforded a single phase of fully oxidized tungstic oxide (required for gas sensing films). Control of reaction conditions afforded a wide range of specific microstructures, from thin solid films to dense fibrous growth. We report the different morphologies obtained on these patterned substrates via the aforementioned experimental set-up. Examples of the effect of solvent type, carrier gas temperature, precursor concentration, substrate temperature, electric field strength and the pre-seeding of platinum are reported.
HP-7 Mechanism of the Metal-insulator-Metal Capacitance Drift for 0.13um Mixed-signal Copper Process
M.C. Liao (Taiwan Semiconductor Manufacturing Co., Ltd,); Y.L.. Wang, J.K. Lan (Taiwan Semiconductor Manufacturing Co., Ltd., Taiwan, R.O.C.); C. Ay (Nation Chia Yi University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.)
The metal-insulator-metal (MIM) capacitor is widely used in many high speed devices, RF circuit and mixed signal IC.[1-3] Titanium, doped polysilicon, tantalum nitride (TaN) are used for the metal plates.[1,2,4] The effects of the metal plate and the dielectric on the MIM performance have been extensively studied.[1-5] The parametric and reliability characteristics are very dependent on the bottom plate material.[1] Nitrous oxide plasma treatment on the dielectric shows great improvement on the breakdown field.[5] However, the interactions between TaN and the dielectric are seldom explored. This paper exhibited the mechanism for the capacitance drift in the TaN / silicon oxide (SiO2)/ TaN (MIM) system The TEM photographs exhibited that the capacitance decay for TaN / SiO2 MIM system was caused by the SiO2 thinning. This paper studied the nitrous oxide (N2O) and silane plasma treatment on the bottom plate TaN. The SEM photographs exhibited that the morphology of MIM with or without plasma treatment showed great difference. The x-ray photoelectron spectroscopy (XPS) results showed that the Ta 4f5 peak in the bulk TaN film was about 27.5 Ev with 2.0 Ev splitting between Ta 4f5 and Ta 4f7, which is close to the pure elemental Ta peak. The TaN near the TaN/SiO2 interface had a board Ta 4f7 peak in the binding energy of 20-33 Ev, which implied the existence of several Ta bonding states. The secondary ion mass spectrometry (SIMS) analysis displayed that Ta-Si-N was formed on the TaN surface with silane plasma treatment. The resistance of bottom plate TaN with siliane plasma treatment showed less increase than that using N2O plasma treatment. The silane plasma treatment on the bottom plate TaN yielded great improvement in the capacitance drift. We presented the mechanism for the improvement on the stability of MIM capacitance by using silane plasma treatment.
HP-8 X-ray Reflectivity Study of the Structural Characteristics of BaTiO3/LaNiO3
Y.C. Liang, T.B. Wu (National Tsing Hua University, Taiwan, R.O.C.); H.Y. Lee, Y.W. Hsieh (National Synchrotron Radiation Research Center, Taiwan, R.O.C.)
Artificial superlattice of BaTiO3/LaNiO3 (BTO/LNO) was epitaxially grown on Nb-doped SrTiO3 (0 0 1) single crystal substrate by a dual-gun rf magnetron sputtering system. The structural characteristics of the superlattice films were studied mainly by x-ray reflectivity. The formation of superlattice structure was confirmed from the appearance of Bragg peaks separated by Kiessig fringes in x-ray reflectivity curves and crystal truncation rod spectra. The surface and interface roughness of the superlattice were obtained from the best fitting of the specular reflectivity curves. The conformal relationship between the consecutive BTO and LNO layers was also observed from off-specular scattering. It was found from the fitted result along with the atomic force microscopy images that the evolution of surface structure follows the Stranski-Krastanov (S-K) growth mode, in which the surface roughness increased with the thickening of sublayers.
HP-9 Effect of Surface Treatment of Si Substrates and Modified Sputtering on Nd2Ti2O7/Y2O3/Si Structures
C.K. Lee, W.S. Kim, H.H. Park (Yonsei University, South Korea)
Recently metal-ferroelectric-semiconductor (MFS) structures have been widely reported for non-destructive read-out and high-density memory devices, but conventional MFS structures have shown many problems including interfacial problem. In order to solve the problems, a metal-ferroelectric-insulator-semiconductor (MFIS) structure was suggested by incorporating an insulator film as buffer layer between ferroelectric film and Si substrate. However for applying an insulator film to MFIS structure, several issues such as leakage, capacitance, breakdown, crystallinity, compatibility with Si, and other properties of insulator film should be considered. Among these issues, the control of formation of unwanted silicate or SiO2 is a very important issue for the high performance MFIS structure for ferroelectric random access memory.In this work, we used Y2O3 thin film as buffer layer and Nd2Ti2O7(NTO) thin film as ferroelectric layer. We have focused on controlling of interfacial layer through the surface treatment of Si substrate. The chemical oxides of substrate Si with uniform angstrom thick were formed and they were reduced by Y-metal deposition, resultantly forming Y-oxides. Y2O3 was successively deposited for the formation of barrier layer. Y2O3 and Y-metal were deposited by dc magnetron reactive sputtering. NTO films as ferroelectric layer were spin-deposited by sol-gel procedure. The interface between Y2O3 buffer layer and Si substrate were investigated using X-ray photoemission spectroscopy. The surface morphology of the structure was observed by atomic force microscopy. The electrical properties were measured using capacitance-voltage and current-voltage relations.
HP-10 Structural and Chemical Properties of Sulfur Passivation Layer for Gate Dielectric Formation on Gaas (001)
J.K. Yang, H.H. Park (Yonsei University, South Korea)
GaAs metal-oxide-semiconductor field-effect transistors (MOSFETs) have been intensively investigated in the past three decades, driven by their applications in high-speed circuit with a low leakage current and a high electrical strength. Although the MOS gate permits large input voltage excursion and simplifies circuit design, most of currently commercialized III-V electronic devices employ Schottky junction as a gate since growing a stable insulator film still remains difficult. However, dielectrics on GaAs using various types of materials have been shown to reduce interface state density, and the candidates include oxides and nitrides such as SiO2, Si3N4, Al2O3, Ga2O3(Gd2O3), etc. Since their applications were often restricted by instable surface property of GaAs, the surface passivation is required to guarantee normal operation of devices. In this work, we report the role of passivation layer on the formation of gate dielectric of GaAs(001). Sulfidation with (NH4)2S solution was employed to preserve a stable interface and GaAs-oxides were not formed after the sulfidation. Rare earth oxide was e-beam evaporated on GaAs. We have focused our attention on the interfacial bonding distribution and structural variation induced by the oxide formation. We present the studies of synchrotron radiation photoemission and extended x-ray absorption fine structure. The electrical properties could be well understood in reference to the interfacial and structural properties of rare-earth oxide/GaAs structure.
HP-11 Structure-property Relationship of Ordered Mesoporous Silica Thin Films
S.B. Jung, H.H. Park (Yonsei University, South Korea)
Due to a rapid decrease in physical dimension of today`s device, RC-time-delay of interconnection is now a serious problem to be overcome. As a possible plan, lower resistive metal or lower dielectric constant material has to be introduced. Recently, ordered mesoporous silica thin film has been drawn an attention for low-k application. It allows rational control of porosity, pore size, shape, film texture, and thickness and results in good mechanical properties in the film. Until now, research on the formation mechanism was investigated by varying the kind of surfactant, composition of the starting material, pH and so on. However, structure-property relationship of ordered mesoporous silica film has not been clearly elucidated for low-k application. In this study, The mesoporous silica films with interconnected or closed pore structure were fabricated using nonionic surfactant as sacrificial material in matrix. The structure-dependent mechanical and electrical properties of the films were discussed. For the purpose, mesostructure of the films was characterized using a small angle X-ray scattering(SAXS) method, transmission electron microscopy(TEM) and powder diffraction method. The elastic modulus and hardness of the films were measured using nano-indentation apparatus. Furthermore, leakage current and dielectric behaviors of the films were monitored.
HP-12 Characteristics of Precipitation on Fluorine-doped Silicon Oxide
J. Wu (National Chiao-Tung University, Taiwan, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); J.-T. Kuo, (National Chiao-Tung University, Taiwan, R.O.C.); Y.-L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.); K.Y. Lo, C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
Precipitation on fluorine-doped silicon oxide(SiOF) films was observed while exposure to air. The chemical and structural changes of SiOF films during the precipitation process were investigated under various fluorine-doping concentrations in SiOF films prepared by varying reactant gas(SiF4 / O2) ratio in remote plasma enhanced chemical vapor deposition system. During the precipitation process, the Fourier transform infrared (FTIR) spectra of SiOF films showed the decreasing trend in intensities of both Si-F bonding peak as well as the shoulder peak of Si-O stretching mode, whereas a opposing trend in Si-OH bonding was observed. In addition, the formation of the precipitates lead to lower refractive index, a relief compressive residual stress, and higher wet etch rate of SiOF films. The underlying mechanisms for the formation of precipitates of SiOF films will be proposed.
HP-13 Comparison of Characteristics and Integration of Copper Diffusion-barrier Films
Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); R.H. Liu, Y.L.. Cheng (National Chiao-Tung University, Taiwan, R.O.C.); K.Y. Lo (Nationl Chia Yi University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.)
Nitrogen-doped Fluorinated-silicate-glass (FSG) films were deposited by adding N2 gas to SiH4/SiF4/O2/Ar gas mixtures using high-density-plasma (HDP) chemical vapor deposition method. As N2 is added increasingly, the fluorine concentration of the films increase and the dielectric constant decrease. By optimizing the N2 gas flow, the dielectric constant of N- doped FSG films further decreased to 3.3. Moreover, these films were stabilized as manifested by a decreased change in dielectric constant after exposing pressure cooking test (PCT) and thermal treatment, indicating a significant improvement in the water and thermal resistivity of the films. It is proposed that the improvement of stability is correlated with the formation of Si-N bonds in FSG films along with an increase in the film density due to bombardment effect of N2 gas. Furthermore, the stability of Fluorine was also identified by Al wring delamination check and adhesion analysis with different capped layers. Therefore, the N-doped FSG films deposited by HDP-CVD were good candidate for interconnect dielectric application. Keywords:High-Density-Plasma Chemical Vapor Deposition (HDP-CVD); Fluorinated-silicate-glass ; FSG; Nitogen.
HP-14 Effect of Thermal Budget on Phosphosilicate Glass Prepared by High Density Plasma Chemical Vapor Deposition
W.C. Hsiao (National Cheng Kung University); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); S.K. JangJian (National Cheng Kung University, Taiwan, R.O.C.); K.Y. Lo, C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
High density plasma deposited phosphosilicate glass (PSG) films were evaluated for an application as Inter-layer dielectric (ILD) material in ultra-large scale integrated circuits (ULSI). The PSG films using phosphorous-related precursors reacted with silane and oxygen at temperatures "550". The as-deposited films were subsequently annealed for seven times at 400/30min for each time to investigate the effect of the thermal budget on the PSG in current IC scheme. Fourier transform infrared spectroscopy (FTIR), X-ray fluorescence analysis (XRF), stress measurement, X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) were employed for the characterization of the PSG film properties. The FTIR and XRF analyses show the bonding configuration and radicals of phosphorous in the deposited film, respectively. The XPS result indicates the unstable and radicals of phosphorous diffusing to the interface after thermal cycles. Meanwhile, it also shows the absence of the P=O group upon numerous annealed treatments, which coincides with the FTIR analysis.
HP-15 The Effect of Diluted Gas on Bonding Configuration and Electrical Properties of Low-k SiOC:H Composite Films
S.W. Chen (National Cheng Kung University,, Taiwan, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); S.K. JangJian (National Cheng Kung University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.); C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
Low-k Organosilicate glass (SiOC:H) dielectric films as inter-layer dielectrics (IMD) in ultra-large scale integrated circuits (ULSI) have been deposited by plasma enhanced chemical vapor deposition (PECVD) with trimethelysilane (3MS) and various diluted oxygen gas flows. The effects of the diluted gases on the deposition rate, the bonding configuration, the thermal stability, the optical and electrical properties were investigated. The absorption spectra of Fourier transform infrared spectroscopy show that the SiCHx stretching vibration mode in the SiOC:H film decreases with decreasing 3MS/O2 ratio which coincides with the XPS analysis. Also, the frequency of the SiO stretching vibration mode shifted to higher wave number (blueshift) with richer SiO network. The results indicate the higher refractive index at lower 3MS/O2 ratios. The higher breakdown voltage strength accompanied with lower dielectric constant value was achieved at lower 3MS/O2 ratios. The stress migration test shows the better thermal stability of the SiOC:H dielectric films with lower 3MS/O2 ratios.
HP-16 Phase Formation of Tantalum on Different Dielectric Films with Plasma Treatment
J.-J. Huanga (National Cheng Kung University, Taiwan, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); J.-L. Huang (National Cheng Kung University, Taiwan, R.O.C.)
Tantalum was deposited by non-reactive sputtering for comparison of phase formation at various films fluorine silicate glass (FSG), Black diamond (BD), silicon oxynitride (SiON) and plasma-enhanced chemical vapor oxide (PEOX). The dielectric films were treated by hydrogen 5% and helium 95% mixed gas with different bias power and time for each condition to study the effect of the tantalum phase formation. The dielectric films were investigated by examining surface roughness, configuration, index of refraction and composition. The tantalum was deposited on the dielectric films after treatment and to study the phase formation. The low resistivity α-phase tantalum is formed in black diamond with treatment and α-phase is found in the others. The absorption spectra of Fourier transform infrared spectroscopy (FTIR) of BD films after treatment shows that methyl (CH3-) bond disappears gradually as treatment time and power increase. This coincides with secondary ion mass spectrometry (SIMS) analysis. In addition, the X-ray photoelectron spectroscopy (XPS) indicates the presence of carbon on the surface after BD treatment. Comparing these results to those obtained from the BD films, the α-phase tantalum can be formed by the appearance of carbon after treatment.
HP-17 New Bias-temperature Stress Structure in Cu Interconnections with Low-k Materials for 90nm Metal Barrier Property Identification
C.L. Huang (Taiwan Semiconductor Manufacturing Company, Ltd., Taiwan, R.O.C.); C.H. Lai (National Tsing Hua University, Taiwan, R.O.C.); J.C. Lin, H.C. Pan, C.H. Hsieh, S.L. Shue, M.S. Liang (Taiwan Semiconductor Manufacturing Company, Ltd., Taiwan, R.O.C.)
Successful integration of high performance Cu dual damascene interconnects (DDIs) using a low-k IMD (k~3.0) has been demonstrated in the 90nm technology. The primary problem with the conventional BTS method is that hard to differentiate the failure mechanism which is coming from dielectric breakdown or barrier breakdown due to Cu diffusion. This paper will demonstrate the conventional BTS failure mode and phenomenon is not suitable for barrier performance evaluation. The enamel-insulated wire like BTS structure was investigated with the 90nm low-k IMD (k~3.0) materials. The new schematic of BTS structure was exhibited that Cu diffusion path and dielectric breakdown by low-k / etching stop layer interface were eliminated. The physical check for encapsulated Cu by barrier was done by XTEM. The BT stress was performed on narrow line width/spacing ~0.12/0.12microm samples with applied electron field (1.2 MV/cm). The stress temperatures were applied at 200, 250, and 300°C; the maximum time of barrier breakdown was 141h. After BT stress, it was successfully demonstrated the failure mode was through barrier layer by XSEM observation and gave the Ea of TaN = 0.68eV.
HP-18 Investigations of Cu Seed Film Self-anneal Phenomenon and the Relationships Between Cu Seed Layer and ECP
M.Y. Cheng (National Chiao Tung University., Taiwn, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.); C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
Three key points, the characteristics of Cu seed film self-anneal phenomenon, the relationships between Cu seed and Electrochemical Plating (ECP), and three Cu seed film quality improvement methods, have been investigated. Resistivity of Cu seed film decreased 4% after 18hr aging and at the same time its film stress was more tensile. From the before study, higher tensile stress is more harmful for pull-back. The XRD results showed that in the self-anneal process, the proportion of the volume oriented with a (111) texture was more and the obvious grain growth happened calculated from the (FWHM) data. In the wettability test, the contact angle of the aged seed film was four times as large as that of the fresh one. Resistivity of the ECP film plated on the aged seed film was 17% higher than that on the fresh one and it changed from high compressive stress on the fresh seed to low tensile stress on the aged one. The higher resistivity was suspected to cause by Cu oxide and the more tensile stress meant the driving force of grain growth is higher. It can be proved by (FWHM) data. From the above results, although the fresh seed has better wettability for the following plating, the grain growth of ECP film on it is more alleviative. This will influence the subsequent processes. So there must be an optimal seed waiting time before plating. In order to improve seed film quality, three methods were studied. Pre-ECP clean by DI water can reduce the contact angle of aged seed film to the level of that of fresh one and improve the wettability of Cu seed layer. H2 treatment can remove unallowable Cu oxide. Anneal pre-treatment can increase (111) texture volume and accelerate grain growth of Cu seed layer known by XRD data.
HP-19 Effect of Cap Layer Type on Active Region Width-dependent Anomalous CoSix Sheet Resistance Change
Y.M. Chen, Y.L.. Wang, M. Cao (Taiwan Semiconductor Manufacturing Co., Ltd., Taiwan, R.O.C.); G.C. Tu (National Chiao-Tung University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.)
Both Ti/Co/Si and TiN/Co/Si processes have been reported to be good choices of self align silicide (Salicide) formation for deep submicron VLSI. In this work, the effect of different cap material to active region width-dependent sheet resistance change was studied. The different sheet resistance change was identified due to different salicide profile around active region and shallow trench isolation (STI) interface. The stress built at STI and active region play an important role. The different profiles also changed the junction leakage and SRAM cell stand-by current performance.
HP-20 The Impact of Scaling on Metal Thickness Control for Advanced Interconnects
H.C. Chen, S.C. Fan, Y.L. Chen (Taiwan Semiconductor Manufacturing Company, Ltd., Taiwan, R.O.C.); J.H. Lin (Taiwan Semiconductor Manufacturing Company, Taiwan, R.O.C.); S.P. Jeng (Taiwan Semiconductor Manufacturing Company, Ltd, Taiwan, R.O.C.); C.M. Wu (Taiwan Semiconductor Manufacturing Company, Taiwan, R.O.C.)
In this paper, a universal model to predict the amount of allowable metal thinning for CMP process control is presented. In the model, all the non-planarity, like dishing and erosion, resulted from CMP process, is assumed accumulative. After a certain levels of wiring, the amount of accumulated loss can't be greater than the metal height, so that metal can be kept inside the damascene trenches. Based on this criterion, an equation is derived and an allowable metal thinning factor as a percentage of the metal thickness is formulated. The calculated allowable metal thinning factor for the 180 nm, 130 nm, 90 nm, 65 nm and 45 nm generations are 15%, 10%, 9%, 8%, and 7%, respectively. For the 130 nm and 90 nm generations, the factors obtained in this study are close to the factor of 10% in 2001 ITRS. However, for the 65 nm and 45 nm generations, the calculated factors are smaller than that in the roadmap, suggesting that tighter CMP process control is needed for these future generations.
HP-21 The Effect of Silicon Nitride Barrier Process on Damascene Copper Interconnect
Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd., Taiwan, R.O.C.); Y.L.. Cheng (National Chiao-Tung University, Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.); K.Y. Lo, C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
The process of plasma-enhanced chemical vapor deposited (PECVD) Silicon Nitride (SiN) films as copper barrier layers capped on post copper chemical mechanical polishing (CMP) film were investigated, such as the waiting time between Cu-CMP and barrier dielectric deposition, the pre-heating time, ammonia (NH3) plasma treatment prior to barrier film deposition and SiN film properties. Effective treatment of the copper surface ensures superior conductivity of the copper interconnects and enhances the adhesion of SiN to the post Cu-CMP surface. On he other hand, longer waiting time (>12hr) or insufficient NH3 treatment lead to delamination at the SiN/copper surface. In addition, silicon nitride film with low hydrogen content have superior etch selectivity and better electrical performance. Therefore, optimization of the copper barrier deposition process is crucial for ensuring the performance of copper interconnects.
HP-22 The Clean Efficiency Study of Organic Residue at Chemical Mechanical Planarization of Copper
K.H. Weia (National Cheng Kung University, Taiwan, R.O.C.); Y.L.. Wang (Taiwan Semiconductor Manufacturing Co., Ltd., Taiwan, R.O.C.); Y.L. Wu (Nation Chi-Nan University, Taiwan, R.O.C.); K.Y. Lo, C. Ay (Nation Chia Yi University, Taiwan, R.O.C.)
The chemical mechanical planarization (CMP) of copper-based structures is typically accomplished with slurries that contain an oxidant, a complexant, and a corrosion inhibitor. The organic residue is always found after CMP, and the defect source is proved coming from the corrosion inhibitor. The Benzotriazole (BTA) is widely used as anti-oxidant of copper surface by doping electrons into the vacant orbit of copper atom. Overdose BTA will coagulate and precipitate on copper surface after CMP post-clean, but insufficient BTA will cause copper damage. The usage of BTA is experimented, and the optimized condition pass EM/ SM test. Clean efficiency improvement of integrated cleaner is also discussed. BTA residue is able to remove by optimized temperature of Mega tank, rotation speed of cleaner brush, and the usage of post-clean agent. The yield is also raised about 2% after clean efficiency of organic residue improved.
HP-23 Computational Simulation of Mechanical Properties and Failure Mechanics of Novel Diamonds-containing Nanocomposites
M.V. Kireitseu (Institute of Machine Reliability, Belarus)
The principal goal of the paper was to demonstrate an application of modern software engineering tools for modelling virtual reality and molecular dynamics of novel nanocomposites. The main technical components of presented system are 1) software and nanoengineering tools for modelling of virtual reality, molecular dynamic and 3D video images of novel diamonds-containing nanocomposites and 2) Problem tracking system to be used during modelling of virtual reality. A computational scheme and software, which utilizes neural networks and/or Microsoft.Net technique, was developed to predict properties of nano-structured materials and optimization and control of nano-devices. Developed software and IT nanoengineering tools can be used by both industrial and private single users. For commercial companies proposed technology provide better cost-effective alternative to the existing solutions for nanoengineering and modeling their virtual reality such as very expensive Silicon Graphics stations. For private users (students, professors, engineers) proposed technology and IT tools can provide simple and cost-effective solution for nanoengineering while studying, exploring virtual reality and modeling novel nanostructures and its properties leading to an innovation or a discovery. Because of using novel software and methodologies users can easily operate proposed technologies by available computers and operating systems (Pentium III or IV). Also users can upgrade it by their own self-written models, if necessary. The proposed technologies could replace or be used along with technologies for modeling such as 3D max and others.
HP-24 AFM/STM Study on Sub nm C-Au-S film
M. Suzuki, M.A. Kashem, S. Morita (Nagoya University, Japan)
C-Au-S film formed by co-operation process of plasma CVD and sputtering has conductive granular molecule distributed in insulating amorphous carbon film. By decreasing the film thickness to sub nm, it is expected that the molecules work as quantum dots distributed in 2 dimensional plane. In order to confirm the quantum dots, AFM/STM observation was performed. Si wafer was processed to ultra smooth uniformity by etching off the oxide by hydrochloric acid, hydrogen peroxide and pure water mixture (HPM). The surface roughness Ra value of Si wafer was measured to be 0.105 nm by the AFM. For the STM, the Ra value was changed with time after the HPM etching as following, 2.39, 1.12, 1.05 and 0.914 at 0.1, 1, 12 and 24 hours, respectively. The change was referred to oxidization process of Si surface. The quantum dots film was deposited on the Si wafer by the co-operation process at 2 and 3 sec discharge duration and a discharge power of 100 W 13.56 MHz with using capacitively coupled CVD reactor. The atomic composition was 66, 10 and 24 atomic % for C, Au and S respectively, which is almost same as thick film. For the C-Au-S film formed at 2 sec, the Ra value of AFM and STM picture were 0.712 and 6.10 nm respectively. The surface roughness was increased on the deposited film and the Ra value by STM was one order larger than that by AFM measurement. For the C-Au-S film formed at 3 sec, the Ra value of AFM and STM picture were 0.819 and 8.36 nm respectively. Because the film thickness is similar to the conducting dot diameter in the insulation film, the larger Ra value of STM image compared to the AFM image suggested that there are conductive dots in the films. However, the practical STM image did not show any clear dots island shape with 0.4-0.6 nm diameter which was expected from the analysis of refractive index. This fact was considered that STM image of molecule was deformed by the tunnel current flow.
HP-25 XAS, EXELFS and AFM Characterization of Gold Nanoparticles Produced by Bioreduction Techniques Deposited on Si Substrates
A. Duarte-Moller (Centro de Investigación en Materiales Avanzados, Mexico); M.L. López, J.A. López, W. Durrer, M.A. Ramos (University of Texas); P. Sánchez-Santiago (Centro de Investigación en Materiales Avanzados, Mexico)
We perform an easy experiment in order to recover the gold nanoparticles produced by Hop biomass. The recovering process was carried out by using the spray pyrolysis for thin film deposition on Si. Also we investigate the ability of core ionization electron energy loss spectroscopy in order to obtain the local structure of the gold nanoparticles. The structural parameters were found by analyzing the extended fine structure above the Au M4 ionization edge. In order to improve the results the an ab-initio calculation was done and applied on each interatomic value. The interatomic distance for Au - Au bond was 0.29 nm which is very close to the value of 0.289 nm found in bulk Au . A second interatomic distance was found and corresponds to Au - Au bond distances of 0.42 nm which is also close to the single crystal value of 0.43 nm. The derived interatomic distances are in good agreement to the expected values for gold (0). These results were also compared with those obtained by using the X-ray source in the SSRL at Stanforn CA. Finally we perform an AFM study in order to get more information about the deposition process.
HP-26 Multi-layer Deposition by Magnetron Sputtering
P. Plantin, P. Brault, A.-L. Thomann (Universite d'Orleans Polytech, France); C. Wang (Beijing University of Aeronautics and Astronautics, China)
We will present first results of multitarget magnetron sputtering deposition of metallic alloys assisted by additional RF ionisation. Both plasma properties included ion flux enhancement at the target and at the subtrate and multilayer density and adhesion will be reported. Will examined iron-tugnsten and iron-tugnsten alloys will be compared. Preliminary results of the alloy show oscillating composition : a 200 nm thick coating is deposited leading to 10 layers (20 nm each) with alternate composition W0.6Fe0.4 and W0.4Fe0.6.
Time Period ThP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2004 Schedule