ICMCTF2002 Session HP-1: Symposium H Poster Session

Monday, April 22, 2002 5:00 PM in Room Town & Country

Monday Afternoon

Time Period MoP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2002 Schedule

HP-1-1 Fabrication and Properties of Nano-structured Palladium Doped Glass Films
T. Ichinohe, S. Masaki (Tokyo National College of Technology, Japan); S. Nozaki, H. Morisaki (The University of Electro-Communications, Japan)
Palladium doped glass (Pd-DG) films were fabricated by ion beam sputter-deposition (IBSD), changing the number of Pd chips on the sputtering target. The target consisted of Pd chips placed on the SiO2 target. The IBSD conditions were as follows: the ion energy was 1 keV, the ion current density was 0.5 mA/cm2, the neutralizer was 8 mA, and the pressure was 7x10-3 Pa during sputter-deposition. X-ray diffraction (XRD) analyses showed Pd ultrafine particles with diameters of 5-10 nm in the as-grown films. It was found that the smaller sized Pd crystallites attributed to form the 10-20 nm palladium oxide (PdO) particles, and then Pd particles independently grew up to about 20 nm after the heat-treatment at 500-600°C. The XRD peaks in PdO, on the other hand, decreased and the spectral width was broadened after heat-treatment at higher temperature (800-900°C) when the Pd crystals grew up to about 40 nm. It is considered that the nano-structured Pd seemed to show structural transition. The I-V characteristics indicate that hopping mechanism causes the electrical conduction of Pd-DG films.
HP-1-4 Atmospheric Pressure Chemical Vapour Deposition of Novel Metal Phosphide Films.
R. Binions, I.P. Parkin (University College London, United Kingdom)
Metal Phosphides have many important technological uses such as in diffusion barriers, semi-conductors and wear resistant coatings. However, thin films of tin phosphides have not previously been reported. Thin films of tin phosphides of varying stiochiometry have been produced from dual source atmospheric pressure chemical vapour deposition, using tin (IV) chloride or tin (IV) bromide and cyclohexylphosphine or phenylphosphine as the initial reagents. The films produced were adherent to the substrate, opaque in form and scratch resistant. The thin films produced were analysed using EDXA, SEM, Electron Probe measurements, Raman spectroscopy, transmission/reflectance measurements, UV/Vis, glancing angle x-ray diffraction. Their chemical resistance and optical properties were examined.
HP-1-5 Tailoring SnO2 Thin Film Deposition Parameters in Order to Increase Gas Sensitive Response
J.M. Correia-Pires, J.B. Almeida, V. Teixeira, H.N. Cui, P. Portela (Universidade do Minho, Portugal)
Magnetron sputtering is a reliable and environmentally clean technique, suitable to produce thin film oxide materials. It is widely known that several of these oxides show gas sensitive properties. Tin oxide is one of the most used materials due to its low operation temperature and sensitivity to many gases. Although there are many studies published on tin oxide properties there is still some work to be done in order to understand fully the sensing mechanism and be able to predict the materials response from its structural characteristics. Thin SnO2-based coatings with gas sensitivity have been produced using DC reactive planar magnetron sputtering. Effect of doping with several materials is being investigated in order to improve gas sensing characteristics. Structural properties - crystal structure and orientation, surface morphology and grain size - have been studied using data from atomic force microscopy (AFM), scanning electron microscopy (SEM) and X-ray diffraction (XRD). The coatings composition was investigated using energy dispersive X-ray analysis (EDX). The deposition parameters where chosen in order to achieve low grain size, high oxygen content and high surface roughness. Sensitivity to carbon monoxide at temperatures between 100° C and 300°C is being investigated. Long term stability has been found to change with the deposition parameters and the doping. .
HP-1-6 SiO2 Electret Thin Films Prepared by Various Deposition Methods
T. Minami, T. Utsubo, T. Yamatani, T. Miyata (Kanazawa Institute of Technology, Japan); Y. Ohbayashi (Hosiden Corporation, Japan)
Recently, silicon dioxide (SiO2) thin films have been attracting much attention as a promising material for electrets. In this paper, we describe the preparation of SiO2 electret thin films by various physical deposition methods such as r.f. magnetron sputtering and arc plasma evaporation. For example, films were prepared on conductive substrates at a temperature of 200 to 400°C by r.f. magnetron sputtering using a fused quartz target. The deposited SiO2 films were charged by a method utilizing corona discharge at room temperature (RT). The surface potential of charged SiO2 films was tested for stability under various atmosphere conditions. The surface potential of SiO2 electret films prepared under optimized deposition conditions was found to exhibit no decay when tested in air at RT. However, stability, or surface potential decay, was considerably affected by humidity; the decay in a high humidity atmosphere was significantly accelerated as the temperature was increased. In addition, this stability under high humidity was strongly dependent on deposition conditions such as deposition pressure and substrate temperature. However, high stability for a long term could not be satisfactorily obtained by only controlling the deposition conditions. It was found that the surface potential stability of SiO2 electret films could be improved by postannealing in an oxidizing atmosphere at a high temperature up to 450°C, irrespective of the deposition method used. The surface potential of SiO2 electret films prepared under optimized deposition and postannealing conditions was found to exhibit no decay when tested over a long term at a temperature of 60°C and a relative humidity of 90%.
HP-1-7 Spectroscopic Characterization of Gd2O3-GaAs Interfacial Bonding State Using AES and XPS
J.K. Yang, M.G. Kang (Yonsei University, Korea); H-H. Park (Yonsei Univeristy, Korea)
It is well known that metal-insulator-semiconductor field-effect transistor (MISFET) of GaAs is remarkably prospective for high-speed circuit because of its low leakage current and high electrical strength. However, their applications were often restricted from the instable interface formed between insulator and GaAs. Among the candidates for gate material, it has been recently reported that Gd2O3can be applicable to GaAs MISFET with the lowest interfacial density of state (Dit) below 1011 cm-2 eV-1. However the importance of interfacial bonding state between GaAs and the oxide has been emphasized, sometimes there has been no detailed report on it, particularly on Gd2O3/GaAs system.@In this work, Gd2O3film was room temperature deposited on GaAs before and after sulfidation, using electron beam evaporator. The bonding natures of Gd2O3/GaAs interface were characterized using Auger electron spectroscopy and X-ray photoelectron spectroscopy. LMM Auger lines of Ga and As clearly demonstrated oxide related chemical state. After the deposition of Gd2O3on S-passivated GaAs, GaAs oxides were not formed at the interface. From S KLL Auger lines, the passivation effect was also confirmed. In particular, the evolution of oxygen bonding states in Gd2O3was elucidated from O 1s photoelectron line and Gd MNN Auger line. Through the above quantitative and qualitative characterization on Gd2O3/GaAs interface, the interfacial bonding state could be analyzed.
HP-1-8 Copper Metallization for ULSI Using 90°-bend Magnetic Filtered Cathodic Arc Evaporation Plasma
J.-H. Lin, U.-S. Chen, Y.-S. Chang, H.C. Shih (National Tsing Hua University, Taiwan, ROC)
The cathodic arc evaporation plasma generated the highest plasma density compared with other PVD systems, but is known to be contaminated with macroparticles. In order to produce high quality defect-free copper films, a 90° -bend magnetic filter is suitable for ULSI interconnects metallization application. Macroparticle contamination has been alleviated by magnetic filter, the copper ions in the fully ionized copper plasma were accelerated and deposited on the wafer with a negative pulsed bias voltage. The fully ionized copper plasma flux was highly directionally deposited on the patterned and blank wafers, the filling of trenches/vias as narrow as 0.2 µm, with an aspect ratio as high as 7, FESEM and TEM images showed conformable copper step coverage ability. Both XRD and SEM/EBSD spectra showed that the FCAE-deposited copper film has an obvious Cu(111) preferred orientation. The result of this process as made, by scratch tests, showed that higher substrate bias and 50% pulse bias duty cycle can effectively enhance the adhesion strength of copper film on a-Ta:N layer.
HP-1-9 A Study on Electroless-plated Copper Film with MEVVA Implanted Cu Catalyst
U.-S. Chen, W.J. Hsieh, J.H. Lin, H.C. Shih (National Tsing Hua University, Taiwan, ROC)
This work attempts to implant Cu ions into TaN/FSG/Si as catalyst by using MEVVA ion implanter for electroless Cu plating. The range of dose is between 7.5 x 1015 and 1.0 x 1017 ions/cm2. Extractor voltage is from 20 to 50 kV. These specimens were subsequently deposited with a thin layer of Cu in an electroless bath developed by this group. Furthermore, the electroless plated copper films were studied by SIMS, SEM, electric resistivity, XRD and a mechanical pull-up test. First of all, a noticed relationship between SIMS depth profiles and ion energy is established. All the sheet resistance of specimens are lower than 1.93 µmΩ-cm after 300°C annealing for 1.5 hour. An excellent gap filling in sub-micron trench/via were observed by FESEM. The result also indicated that the higher the ion dose/voltage, the larger value of adhesion as we obtained from the pull-up test.
HP-1-10 Phase Transformation Between Ni/Cu UBM and Eutectic Sn-Pb Solder in Flip Chip Technology
C.S. Huang, J.G. Duh (National Tsing Hua University, Taiwan, ROC); Y.L. Wang (Taiwan Semiconductor Manufacturing Company, Taiwan, ROC)
Phase transformation between Ni/Cu under bump metallurgy (UBM) and eutectic Sn-Pb solder in the 63Sn-37Pb/Ni/Cu/Ti/Si3N4/Si multiplayer structure for the flip chip technology were investigated. Interfacial reaction products varied with different reflowed times. After the first reflow, the (Ni, Cu)3Sn4 IMC was found between solder and Ni. However, there were two interfacial reaction products formed between solder and UBM after three times of reflow. The layered (Ni, Cu)3Sn4 was next to the Ni/Cu UBM. The island-like (Cu, Ni)6Sn5 was formed between (Ni, Cu)3Sn4 and solder. The compositions of these two IMC could be related to the apex of Sn-Cu6Sn5-Ni3Sn4 three phase region The phase transformation for solid-liquid reaction during periods of reflow was further evidenced with the aid of ternary Sn-Cu-Ni.
HP-1-11 Preparation and Electrical Characteristics of Randomly Oriented Bi3.25La0.75Ti3O12 Thin Films Prepared by MOD
C.I. Kim, K.T. Kim, D.H. Kang, I.W. Shim (Chung-Ang University, Korea)
We have fabricated Bi3.25La0.75Ti3O12 (BLT) thin (200nm) films on the Pt/Ti/SiO2/Si substrates using an MOD (Metalorganic decomposition) method. We investigated the effect on the structural and electrical properties of the films as a function of molar concentrations and crystallization temperature. The structural properties of the films examined by x-ray diffraction (XRD). The compositional depth profile of the interfaces between the BLT thin films and electrode materials were examined using Auger electron spectroscopy(AES). From the XRD analysis, the obtained BLT thin films show randomly orientated. The layered-perovskite phase was obtained by spin-on films at above 600°C for 1h. Scanning electron microscopy(SEM) showed uniform surface composed of rod-like grains. The grain size increased with increasing annealing temperature. The film annealed at 650°C, was measured to have a dielectric constant of 279, dielectric loss of 1.85[%], remanent polarization of 25.66µC/cm2, and coercive field of 84.75kV/cm. The BLT thin films showed little polarization fatigue test up to 3.5x109 bipolar cycling at a 5V and 100kHz.
HP-1-12 Low Temperature Annealed Nd2Ti2O7 Ferroelectric for Metal-Ferroelectric-Insulator-Semiconductor Field Effect Transistor
S. Yoon, W.S. Kim (Yonsei University, Korea); H-H. Park (Yonsei Univeristy, Korea)
In the metal-ferroelectric-semiconductor field-effect-transistor (MFS-FET), it is important for ferroelectric material to have low dielectric constant which enables a sufficient electric field to be applied to ferroelectric film, and high coercive field for large memory window. From the above requirements, Nd2Ti2O7can be good candidates because they show high coercive field, good thermal stability, and low dielectric constant. However their crystallization temperature is too high to use them as ferroelectric layer. Then it should be considered that ferroelectric materials could show ferroelectric behavior even with their amorphous form.In this work, the relationships between ferroelectric-like properties and low temperature-annealed Nd2Ti2O7with amorphous form were investigated. The fabrication and characterization of the electrical properties of MFS-FET structure were also discussed.
HP-1-13 The Characteristics of Ferroelectric (Pb, Sr)TiO3 Thin Films Prepared by Sol-Gel Method as a Function of Pb/Sr Compositions for DRAM
C.I. Kim, K.T. Kim (Chung-Ang University, Korea); H.M. Kim (Dongguk University, Korea)
Ferroelectric devices are attractive for dynamic random access memories (DRAMs) applications because of high dielectric constant. Using ferroelectric device structure, manufacturing cell capacitance of highly integrated memory device is possible. Hence, ferroelectric materials, for example (Ba, Sr)TiO3 (BST), SrBi2Ta2O9 (SBT), and Pb(Zr, Ti)O3 (PZT) have been intensively studied to overcome the limitation of conventional capacitor structure. Among the various ferroelectric films, BST thin film was noticed as the most promising material for the capacitor of a ULSI DRAM cell due to its high dielectric constant. However, its have some problems such as the high processing temperature above 750°C to obtain a good electrical property. The heat treatment at high temperature can make a poor effect on electrode, barrier metal and contact plug. The addition of Pb into SrTiO3 (STO) makes its dielectric constant higher and the temperature of crystallization lower than BST. In this study, ferroelectric and crystallization properties of (Pb, Sr)TiO3 (PST) thin films were investigated as a function of Pb/Sr compositions prepared by Sol-Gel method. The perovskite phase was obtained as function of the annealing temperature from 450°C to 700°C for 1h. The crystalline structure of the PST thin films were analyzed by X-ray diffraction (XRD). The surface and cross-sectional microstructures of films were examined using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The compositional depth profile of the interfaces between the PST thin films and electrode materials were examined by Auger electron spectroscopy (AES). The leakage current density and capacitance-voltage (C-V) characteristics were measured using a semiconductor parameter analyzer (HP- 4145B) and an impedance analyzer (HP-4192A), respectively. Ferroelectric properties measured using a precision workstation (Radiant Technologies).
HP-1-14 Study on the Piezoelectric Property of Self-patternable Pzt Film Prepared by Sol-gel Procedure
W.S. Kim, S-M. Ha, H-H. Park (Yonsei University, Korea); T-S. Kim (Korea Institute of Science and Technology, Korea); J.-S. Hwang (Yonsei University, Korea)
Recently, a possible micro photoresist free self-patterning of PZT thin film using photosensitive PZT solution was reported. This is a wet chemical etching process and so effectively overcome the degradation problem of ferroelectric film originated from the dry etching process. In this study, piezoelectric property of self-patterned PZT film was investigated using a pneumatic loading method, newly devised for more improved and reliable characterization technique. The PZT films in this study has its own application in micro-electro-mechanical systems (MEMS). The piezoelectric coefficient is one of the most important parameter in development of MEMS incorporating piezoelectric films. PZT films were prepared by sol-gel technique. Metal alkoxides of titanium iso-propoxide, zirconium n-butoxide, and lead acetate tri-hydrate were used as starting materials. Negative acting ortho-nitrobenzaldehyde was used as cross-linking agent, diethanolamine as stabilizer and ethanol as solvent. The surface morphology and thickness of films were observed using scanning electron microscopy. The crystallinity of film was determined by X-ray diffraction method. Ferroelectric properties were examined by observing P-E hysteresis loop using RT66A. Their ferroelectric and Piezoelectric properties were compared with those of conventionally prepared PZT films by sol-gel procedure without cross-linking agent.
HP-1-15 Study on the Electrical Properties of PZT Thin Films After Etching in Cl-based Plasma
C.I. Kim, M.G. Kang, K.T. Kim (Chung-Ang University, Korea)
Ferroelctric lead zirconate titanate (Pb(Zr,Ti)O3) thin films have been known for their applications in memory devices such as nonvolatile ferroelecric random access memory (FRAM) because of their high dielectric constants and bistable polarization. It is expected that PZT will improve the limitations in storage density encountered in conventional Si memory technology. Among the various dry etching techniques, great attention has been paid to the reactive ion etching (RIE) because it provides a high degree of anisotropy and good selectivity with the great process control. However, the RIE process degrades electrical properties, which are related to physical damage and chemical residue contamination. In this study, PZT thin films were etched in Cl-based plasma. The etch rate is observed by various parameters. We also observed the effect of etching damage in PZT thin films during etching in inductively coupled plasma (ICP) etching system. SEM is used to survey the etching profile. We investigate that the recover characteristics of etching damage used thermal annealing at various temperature. After etching at various conditions and re-annealing, the variation of electric properties such as polarization-electric field (P-E) curves, leakage current, I-V curves and fatigue characteristics were measured with a precision workstation ferroelectric tester. The physical characteristics to the surface of PZT are evaluated by atomic force microscopy (AFM) and x-ray diffraction (XRD). The etched surface was surveyed x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS) analysis.
HP-1-16 Microstructure and Dielectric Properties of Ln2Zr2O7 (Ln = La, Nd, and Gd) Thin Films.
W.S. Kim, H-H. Park (Yonsei University, Korea)
Recently, dielectric thin films have been studied for microelectronic applications such as dynamic random access memory (DRAM) and wireless-communication system. The required properties for that application are low dielectric loss and high dielectric constant. From this point of view, Ln2Zr2O7 (Ln = trivalent cations) with pyrochlore structure containing Zr as a main constituent element could be a good candidate. In this work, Ln2Zr2O7 (Ln = La, Nd, and Gd) pyrochlore-structural thin films were prepared on platinum coated Si wafers using a chemical solution deposition process. The variation in structural properties with different size of trivalent cations was investigated using X-ray diffraction. The dielectric properties were measured as a function of frequency and temperature. The relationship between dielectric property and crystal structure of Ln2Zr2O7 pyrochlore-thin films could be discussed.
HP-1-17 Failure Description of a Bilayer Thermally Actuated MEMS
M.S. Guimãres, R.M. Souza, M.I. Alayo, M.N.P. Carreño, A. Sinatora (Universidade de São Paulo, Brazil)

The reliability of MEMS devices is one of the important questions to be answered in order to ensure their commercialization. It is necessary to understand the work conditions of MEMS device and to determine the conditions at which this system will fail.

This work initially describes the fabrication of bicantilever microbeams formed by a 5 micrometer thick layer of Silicon Oxynitride (SiOxNy) grown by a PECVD technique at low temperatures, and a 0.5 micrometer thick aluminum layer obtained through evaporation. Later, an electrical current was applied to the microbeam wich thermally expanded, by Joule effect.

A differential interference contrast microscopy (DIC) was used to monitor the deformation of the microbeam, until the load was released by failure of the aluminum layer and interruption of the electrical current. Scanning electron microscopy (SEM) analyses were conducted after the failure of the aluminum layer and revealed the presence of transversal cracks and circular features close to the ends of the thermally actuated microbeams.

HP-1-18 Pulsed High-Voltage Glow-Discharge Plasma Implantation of Inner Wall of a Cylinder
X.B. Tian, P.K. Chu (City University of Hong Kong)
Although plasma immersion ion implantation has been proven to be effective to treat inner walls, there are practical limitations. The pre-requisite of this technique is the existence of a plasma engulfing the surfaces. Unfortunately, the plasma volume inside a small bore is finite leading to the rapid depletion of the plasma ions even using a small pulse duration in the case of a high voltage or low plasma density. It is quite difficult for the plasma to diffuse uniformly into the bore in between pulses, and a low plasma density in the middle of the bore exists due to continuous recombination. Consequently, the incident ion dose and resulting surface properties are non-uniform. Thus, the finite plasma diffusivity renders implantation into a very long and small bore very difficult. In order to overcome the shortcomings, we are using a new technique to treat the inner wall using pulsed high-voltage glow discharge plasma implantation. The plasma is generated between the inner wall (negative) and center electrode (earth) during the high-voltage pulse-on cycle. Hence, the plasma uniformity along the axis of the bore is independent of the plasma diffusion behavior. More importantly, the plasma is sustained towards the end of each pulse. Our experimental results demonstrate that the glow discharge behavior very much depends on the working gas pressure, applied voltage, and other instrumental factors, but ion energy loss due to collisions is not severe. In this paper, the experimental results and glow discharge behavior will be presented.
HP-1-19 Study on The Low Angle Forward Reflected Neutral Beam Etching System for SiO2 Etching
M.J Chung, D.H Lee, J.W. Bae, G.Y. Yeom (Sungkyunkwan University, Korea)
Plasma etching is one of the key technologies in the fabrication of deep submicron silicon based integrated circuit. However, plasma etching has a serious disadvantage due to the energetic charged particles such as positive ions and photons generated in the plasma which causes radiation damage causing physical defect, increased gate oxide breakdown, charging, etc. To avoid these charge-related and physical impact-related damages, several low-damage processes have been proposed. One possible alternative to avoid these problems is a low energy neutral beam etching. In the previous study, a prototype etcher of a low angle forward reflected neutral beam etching apparatus has been developed where all low energy reactive ions extracted from an ion gun impact on a flat surface with 5 to 15 degree to produce near-parallel radical beam flux. This reflected neutral beam source has been devised to be scaleable to 8 inch diameter and also to etch polymer and silicon anisotropically. In order to obtain a large number of ions, inductively coupled plasma was used as the plasma source. In this study, a newly improved low angle forward reflected neutral beam apparatus with the reflector attached to the ion source as a grid system has been used and its characteristics on the formation of neutral beam, beam flux, directionality of the neutral beam have been investigated. Also, we investigated the etch properties and the etch rates for SiO2 with fluorine-base gases using this new system.

SiO2.

HP-1-20 A Study on the Etch Characteristics of Silver by Inductively Coupled Plasmas
S.D. Park, Y.J. Lee, B.K. Song, G.Y. Yeom (Sungkyunkwan University, Korea); M.P. Hong (Samsung Semiconductor Inc.)
Silver(Ag) is being investigated as a potential material for thin film transistor liquid crystal display(TFT-LCD) because it has the lowest bulk resistivity of all metals at room temperature and higher reflectance than aluminum. Currently, Ag etching are performed using wet etching methods, however, for the fabrication of the high resolution display devices, the use of plasma etching techniques is inevitable in the near future. To fabricate Ag-metallization-based TFT-LCD devices successfully using plasma etching, reproducible etching processes with high etch rate, tapered etch profile, and no residues are required. Due to the lack of volatile halogen compounds of Ag, not many works on Ag plasma etching can be found in the literature even though the need for plasma etching of Ag is increasing. In this work, inductively coupled fluorine O2, and N2 and the effects of these gas combination on the etch characteristics were investigated. The result showed that the addition of additive gases especially the addition of N2 sharply increased the etch rate of Ag and, in the case of CF4/N2/Ar gas chemistry, the highest etch rate of about 150nm/min could be obtained with the etch selectivity over photoresist close to 1. Also, we found that etch products were soluble in water. To understand the effects of plasma conditions on the Ag etch properties, the optical emission spectroscopy(OES), quadrupole mass spectrometetry(QMS), and an electrostatic probe have been used. Also, the variation of surface composition including the fluorine residue during and after the etching for CF4-based chemistries were investigated using X-ray photoelectron spectroscopy(XPS).
HP-1-21 In-situ Ellipsometric Investigation of the Stainless Steel Corrosion Behavior in Biological Media
M.V. Vinnichenko (Kyiv Taras Shevchenko University, Ukraine); M.T. Pham, Th. Chevolleau (Forschungszentrum Rossendorf, Dresden, Germany); L.V. Poperenko (Kyiv Taras Shevchenko University, Kyiv, Ukraine); M.F. Maitz (Forschungszentrum Rossendorf, Dresden, Germany)
The characterization of surface alteration process for materials in biological systems is important in design of biomaterials. Therefore the surface modification of austenitic stainless steel 304 and 316 L due to potentiodynamic corrosion tests has been studied. The current-voltage measurements were carried out in specially designed cell that also permitted in-situ ellipsometry probing of the sample surface. Potentiodynamic polarization measurements were carried out in phosphate buffered saline (PBS) and Dulbecco's Modified Minimal Essential Medium (DMEM) at pH=7.4. The applied potential ranged from -0.8 to +0.8 V with the scan rate 2 mV/s. The probing light wavelength was λ=632.8 nm. After the corrosion tests the sample surfaces were studied by means of optical microscopy and atomic force microscopy (AFM). The 316 L steel showed higher corrosion potential and lower corrosion current in PBS than in DMEM. The 304 steel demonstrated opposite tendency for corrosion potential and current. In both media 304 steel is more susceptible to corrosion than 316 L grade. Optical microscopy revealed pits on all treated samples. Ellipsometry demonstrated a better sensitivity than potentiodynamics to surface modification in cathodic area. It has been shown that in case of PBS and DMEM layers with different optical constants were formed. For potentiodynamics in DMEM removal of surface layer in the first 2 min with further repassivation was characteristic while in PBS the surface layer started to grow within first few seconds. This layer was observed for all samples after PBS by AFM. More abrupt changes of ellipsometric parameters with time were observed at lower corrosion current for each stainless steel grade.
HP-1-22 Modification of Metal Surface Induced from the Deposition of SiO2 Aerogel Film
B.H. Kim, S-B. Jung, S-W. Park, H-H. Park (Yonsei University, Korea); H-C. Kim (Electronics and Telecommunications Research Institute, Korea)

Due to a rapid decrease in physical dimension of today’s device, RC-time-delay of interconnection is now a serious problem to be overcome. As a possible plan, lower resistive metal or lower dielectric constant material has to be introduced. For a low dielectric constant material, SiO2 aerogel can be a promising candidate for an interlayer dielectric(ILD) due to its lowest dielectric constant. However the formation of SiO2 aerogel film on metal substrate should induce a modification of metal surface because the aerogel film is made by sol-gel process. The modification of the metal surface is also dependent on the process variables of the aerogel film formation.

In this study, the modification of metal surface during the aerogel film formation has been investigated. Aluminum and copper were used as metal substrate. SiO2 aerogel film was formed by 2-step sol-gel procedure. The effect of supercritical drying and ambient pressure drying on the modification of metal substrate was analyzed. Furthermore, the effect of interface modification on the electrical properties of dielectric/metal system was also discussed.

Time Period MoP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2002 Schedule