ICMCTF2001 Session GP-1: Symposium G Poster Session

Tuesday, May 1, 2001 5:00 PM in Room Atlas Foyer

Tuesday Afternoon

Time Period TuP Sessions | Topic G Sessions | Time Periods | Topics | ICMCTF2001 Schedule

GP-1-1 Silicon and Boron Based Dry Lubricant Coatings for Machining Operations of Automotive Parts
E. Hirsch, M. Lahres, M. Baumstark, T. Uhlig (Daimler Chrysler Company, Germany); A. Huehsam (University of Karlsruhe); J. Doerr (University of Darmstadt)
Today, cooling lubricants will be applied in many cutting operations. The production and the disposal of these lubricants cause high costs. Furthermore, their use is accompanied by dangerous for the environmental and humans health. New silicon and boron based dry lubricant coatings had been developed on cutting tools. A first estimation of the potential of these new coatings was derived from standard adhesion, friction and wear tests. Following to that pre-selection, the coated tools were tested in a next step at two Institutes under laboratory conditions. Drilling was carried out on grey cast iron and steel, milling on a casting alumina alloy. The successful coatings finally were implemented in field tests under production conditions in drilling and milling of automotive parts. The presented project is sponsored by the German Government Ministry "BMBF".
GP-1-2 The Influence of Ion Prebombardment on Adhesion of TiAlN Composite Coatings
M.V. Atamanov, M.I. Guseva (Russian Research Center "Kurchatov Institute", Russia); O.N. Makeev (State Center of RF Allrussian Scientific and Recearch Institute of Inorganic Materials, Russia); Yu.V. Martynenko (Russian Research Center "Kurchatov Institute", Russia); V.S. Mitin, S.A. Shiryaev (State Center of RF Allrussian Scientific and Recearch Institute of Inorganic Materials, Russia)
The composite coating TiAlN were manufactured by magnetron sputtering with using mosaic cathode. The investigation of various energies N+ and Ar+ ions prebombardment influence on adhesion of TiAlN composite coating was performed. The doses of ion bombardment were within 1021 - 1023 ions/m2. Microstructure of the surface after pre-bombardment was searched by electron tunneling microscope. Mechanical stresses were measured also. A proposed theoretical model describes influence of background roughness and stress in the background and film on adhesion.
GP-1-3 Thermal Stability and Mechanical Properties of Ni-W-P Electroless Deposits
Y.Y Tsai, Y.I. Chen, P.J. Peng (Industrial Technology Research Institute, Taiwan); F.B. Wu, J.G. Duh (National Tsing Hua University, Taiwan)
The ternary Ni-W-P alloy coatings were deposited by electroless plating on 420 stainless steel and tungsten carbide substrates to evaluate the thermal property and related mechanical characteristics of the coating assemblies. The thermal stability of electroless Ni-P-W deposits, analyzed by Differential Scanning Calorimetry (DSC), could be enhanced by the co-deposition of tungsten as compared to binary electroless Ni-P films. The phase and composition of Ni-W-P and Ni-P were evaluated by X-Ray diffraction technique and electron probe microanalysis, respectively. The co-deposition of tungsten enabled the deposits to exhibit amorphous structure with lower phosphorus requirement. The determination of the adhesion strength of the deposits on both substrates was assessed by scratch test. Surface hardness of binary alloy coating could be modified with the introduction of the tungsten element. In addition, wear resistance of both Ni-W-P and Ni-P electroless coatings were also evaluated by pin-on-disc dry sliding test, and the effect of tungsten addition would be discussed.
GP-1-4 Y2O3-GeO2 Phosphor Thin-Film EL Emitting Layers Prepared by Magnetron Sputtering
T Minami, T Nakatani, T Miyata (Kanazawa Institute of Technology, Japan)
In this paper, we introduce newly developed thin-film electroluminescent (TFEL) devices consisting of a Y2GeO5 phosphor thin-film emitting layer combined with a thick BaTiO3 ceramic sheet insulating layer. Transition metal- or rare earth-activated (Y2O3-GeO2) multicomponent oxide phosphor thin films were used as the emitting layer of TFEL devices prepared by r.f. magnetron sputtering using (Y2O3-GeO2) phosphor powders calcined with a GeO2 content of 0 to 100 mol.% as the target. All the deposited oxide phosphor thin films were postannealed in an Ar atmosphere for 1 h at 800-1100°C. The EL characteristics of TFEL devices using (Y2O3-GeO2) phosphor thin films were strongly dependent on the postannealing conditions as well as the chemical composition and the kind and content of doped activator. In TFEL devices fabricated using (Y2O3-GeO2) phosphor thin films, higher luminance EL emission could be always obtained with a GeO2 content of about 50 mol.%, emitting layer composition identified as Y2GeO5, irrespective of doped activator. For example, high green luminances of 785 and 15 cd/m2 were obtained for a Mn-activated Y2GeO5 TFEL device driven by a sinusoidal wave voltage at 1 kHz and 60 Hz, respectively. This is the first report of high luminance emissions from TFEL devices using Y2GeO5 oxide phosphors.
GP-1-5 Diffusion Barrier Coatings Performed on the Versatile Plasma Web Coater
E.M. Moser, N. Holm (EMPA, Switzerland)

A high vacuum web coater to treat rolls of materials by plasma enhanced chemical vapour deposition processes has been implemented. BABE 1* is capable of treating material surfaces up to 63 cm in width (plastic films, paper or textiles, for instance) at speeds of 0.2 to 200 m per minute. The winding unit can be removed from the chamber to allow quick and easy roll changing. Moreover, its design allows several combinations of conventional surface treatments and plasma processes. Innovative coating systems may be developed by using suitable pre- and post-treatments to complete the plasma deposition processes due to the design of the four chambers working at different pressures and atmospheres of gases.

The research and development pilot unit enables to scale up deposition processes for later industrial use. In particular, hydrocarbon coatings prepared by the reactive magnetron sputtering method combined with plasma-stimulated gas-phase polymerisation have been studied. The impetus to build the web coater came from the development of the diffusion barrier based on highly cross linked hydrocarbon thin films*. Coating a 12µm polyester film, for instance, increases the barrier effect against oxygen and water vapour by a factor of up to 150. Preliminary experimental data will be shown concerning the coating properties of the diffusion barrier layers, as well as the reliability and the stability of the plasma process.

* patent applied for.

GP-1-6 Characterization of Structure and Phase Composition for Gold and Cobalt-Tungsten Layers in the Systems Au (Electrodeposited)/Co-W/Cu
I. Vitina, V. Rubene, A. Knipele, M. Lubane, A. Krumina, V. Belmane (Inst. of Inorganic Chemistry, Riga Technical University, Latvia)
The changes of structure and phase composition were studied by TEM, SEM and XRD for different temperatures of the thermal treatment. The Co-W alloy amorphous for XRD, containing 50-55 wr% W, has been electrodeposited at the current density 0.8-1.2 A/dm2 from modified complex salt electrolyte1 2. The Co-W alloy maintains its amorphous state for XRD after the heating at 300 and 400 °C for 200 h. Formation of Co3W and Co7W6 was not observed. Chemically reduced (the thickness 0.3-0.8 µm) and electrodeposited (the thickness 1.0-1.7 µm) gold layers maintain pure Au phase on the Co-W alloy layer having the thickness 1-2 µm. Interdiffusion of elements and formation of intermetallics CuAu, Cu3Au or AuxCuy were not observed in the systems of layers Au/Co-W/Cu. The Co-W alloy can serve as a thermal barrier layer.


1
1K.M. Chrow, W.Y. Ng, L.K. Yeung. Surface and Coatings Technology 99 (1998) 161-170.
2N. Donten . J. Solid State Electrochem. 3 (1999) 87-96.

GP-1-7 The Etching Mechanism of YMnO3 Thin Films in High Density Ar/CF4 Plasma
C.I. Kim, D.P. Kim (Chung-Ang University, Korea)
Ferroelectric materials, such as Pb(Zr,Ti)O3 (PZT), SrBi2Ta2O9 (SBT), (Ba,Sr)TiO3 (BST), YMnO3 have attracted much attention for use in nonvolatile memories. In particular, YMnO3 thin films are excellent material for highly integrated ferroelectric random access memory (FRAM) with metal-ferroelectric-silicon field effect transistor (MFSFET) structure. Although etching processes for YMnO3 thin films must be developed to fabricate MFSFET type FRAM, etching of YMnO3 have not been reported. Thus, we studied the etching properties of YMnO3 thin films using high density plasma. In this study, YMnO3 thin films were etched with Ar/CF4 gas chemistries in an inductively coupled plasma (ICP). Photoresist (PR) and SiO2 were used as mask materials. Etching properties of YMnO3 were measured according to the various etching parameters such as rf power, dc bias voltage, chamber pressure and gas mixing ratio. The trends in the effect on the etch rate and selectivity to mask materials for CF4 ratio in Ar/CF4 chemistry have been determined. YMnO3 was dominantly etched by Ar ion bombardment. The Selectivity to PR and SiO2 increased as decreasing mole fraction of Ar gas. Chemical reaction and residue of etched surface were investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). The etched profile of YMnO3 was investigated by scanning electron microscopy (SEM) images. In order to analyze the effect of radical density of F and ion current density in plasma of various gas chemistries, optical emission spectroscopy (OES) and single Langmuir probe were utilized. Change of stoichiometry on the etched surface was discussed by comparing with OES analysis.

Acknowledgements: University Research program supported by Ministry of Information & Communication in South Korea.

GP-1-8 A Study on the Etching Characteristics of CeO2 Thin Films Using High Density CF4/Ar Plasma
C.S. Oh, C.I. Kim (Chung-Ang University, Korea)
Recently, nonvolatile memory such as ferroelectric random access memory (FRAM) has attracted much attention for new memory devices, and many studies have been carried out. FRAM with metal-ferroelectric-insulator-silicon field effect transistor (MFISFET) structure is particularly hopeful memory device due to the advantage of low electric power consumption and decreasing memory cell. Cerium oxide (CeO2) thin films are considered the leading candidate as the insulator material for FRAM with MFISFET structure. Although etching processes for CeO2 thin films must be developed to fabricate MFISFET type FRAM, etching of CeO2 has not been reported. Thus we studied the etch characteristics of CeO2 thin films using high density plasma etching system. In this study, CeO2 thin films were etched with a CF4/Ar gas combination in an inductively coupled plasma (ICP). The experiment was done by controlling the etching parameters such as gas mixing ratio, radio frequency power, direct current bias voltage, and chamber pressure. The surface reaction of the etched CeO2 thin films was investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometer (SIMS). This result confirms that CeO2 thin film is dominantly etched by Ar ions bombardment and is assisted by chemical reaction of F radicals. In addition, Optical emission spectroscopy (OES) was investigated to analyze density of F radical and Ar ion in CF4/Arplasma. Ion current density was measured by using single Langmuir probe. The profile of etched CeO2 thin film investigated with scanning electron microscopy (SEM). .
GP-1-9 Fabrication and Field Emission Characteristics of a Planar Field Emitter Array on the Diamond Like Carbon Layer Deposited by Cs Ion Assisted Magnetron Sputtering
D.H. Lee, D.W. Kim, G.Y. Yeom (Sungkyunkwan University, South Korea); S.I. Kim (Skion Inc, Hoboken, NJ, USA)
The field emission display (FED) is one of the promising technologies for flat panel displays. Electrons are obtained through vacuum field emission (FE) from microstructured field emitters obtained using various technologies and materials. Diamondlike carbon(DLC) based field emitters can be practically important in the fabrication of field emission display not only due to the properties possessed by diamond such as electronegativity, thermal stability, mechanical hardness, and chemical inertness but also due to the possibility of low temperature deposition and large area deposition. In this study, a novel DLC-based field emission device based on a planar field emitter has been designed and fabricated and its electrical properties were investigated. To maximize the field emission of the planar type field emitter, the shape and dimension of the device were simulated using a simulation tool. To obtain desirable properties of DLC layer, a novel Cs ion assisted magnetron sputtering deposition technique developed by SKION Inc. was used to deposit the DLC layer at room temperature. The room temperature deposited DLC layer showed mechanical and electrical properties close to diamond. The fabricated planar type DLC-based field emission device was consisted of DLC/bottom electrode/insulator/top electrode. Details of the fabrication techniques and the electrical properties of the fabricated field emission device will be discussed. Also, the properties of DLC layer deposited by Cs ion assisted magnetron sputtering will be also discussed.
GP-1-10 Inductively Coupled Plasma Etching of Sapphire Wafer in BCl3- and BCl3/Cl2-Based Plasmas
Y.J. Sung, G.Y. Yeom (Sungkyunkwan University, Korea); J.S. Kwak, S.H. Chae, Y.J. Park (Samsung Advanced Institute of Technology, Korea)
Sapphire wafers are widely used as the substrates for growing epitaxial films for optoelectronic devices such as GaN-based III-Nitrides. These wafers are also thermally and chemically very stable. However, due to these stabilities, sapphire wafers are difficult to etch using wet etching methods. Recently, researches on the various etching of sapphire such as ion beam etching, chemical wet etching after ion implantation, reactive ion etching, etc. have been carried out. But these etch rates of sapphire were generally lower than 15nm/min. In this study, BCl3 or BCl3/Cl2 based inductively coupled plasmas have been used to etch (0001) sapphire wafers to obtain etch rates over 200 nm/min and the influence of the additive gases such as Ar, Kr, HBr, etc. on the sapphire etch characteristics were studied. The possible applications of this study was the device isolation process replacing the scribing process and the final surface smoothing process replacing conventional lapping process of the sapphire wafer. The etch mechanism of sapphire was also investigated by plasma diagnostics and surface analysis using optical emission spectroscopy during the sapphire etching and X-ray photoelectron spectroscopy after the etching, respectively. Etch profiles were observed by scanning electron microscopy and the surface roughness was examined by atomic force microscopy before and after the etching of the etched samples.
GP-1-11 Calculation of the Vacuum Pressure Gradient in Field Emission Displays
F.T. Degasperi (Faculdade de Tecnologia de Sao Paulo - Fatec - SP - Brazil); V.P. Mammana, S.L.L. Verardi (Universidade de Sao Paulo, Brazil); V. Baranauskas (Universidade Estadual de Campinas, Brazil)
The performance of Field Emission Displays (FEDs) is directly related to the vacuum pressure between the anode and cathode plates. However, FED devices typically have a "vacuum chamber" of planar geometry, which presents a great impedance to the vacuum pumping. Typical dimensions of a FED "vacuum chamber" are around 0.5 mm in thickness and 200 mm in length. Such dimensions usually lead to the existence of a high vacuum pressure gradient in the "vacuum chamber", usually in the same direction as the spacing between the electrodes, which may represent a limitation on the performance of the FED. In this work, we present a systematic approach for the determination of the vacuum pressure gradient and the effective conductance and pumping velocity, which can be applied in a general way to calculate the pressure in FEDs. Finite element analysis methods are employed. Some applications of this model to different geometries of FEDs are discussed.
GP-1-12 Etch Characteristics of Sapphire Using Magnetized Inductively Coupled Plasmas
C.H. Jeong, Y.J. Sung, G.Y. Yeom (Sungkyunkwan University, Korea); J.S. Kwak, Y.J. Park (Samsung Advanced Institute of Technology, Korea)
Sapphire wafer has been used in the optoelectronics industries as the substrates due to its high chemical and thermal stability. One of the problems in using sapphire wafers to optoelectronic devices such as GaN-based devices is the difficulty in cutting and backside mechanical polishing after completing the device due to the differences in the crystal orientation and the hardness of sapphire itself. Especially, to obtain reliable device isolation, more than 50µm wide scribe line width is required for mechanical cutting using a diamond wheel or for scribing using a diamond scriber. However, if the device isolation can be replaced by the dry etching, the scribe line width could be reduced to 5µm and the yield per wafer could be also increased by 30%. In this study, magnetized inductively coupled plasmas were used to etch sapphire wafers. And the effects of etch parameters such as magnetic field, gas combination of BCl3/HCl and BCl3/Cl2, inductive power (400 ~ 800 Watts), bias voltage (-100 ∼ -300 Volts), and flow rate (20 ∼ 50 sccm) on the etch characteristic such as etch selectivity over photoresist and etch properties of sapphire were investigated. The substrate temperature was fixed at 70°C. The etch rates of sapphire and photoresist were measured using a stylus profilometer by estimating the feature depths before and after the removal of photoresist. The characteristics of the plasmas were also investigated using a Langmuir probe, optical emission spectroscopy (OES), and quadrupole mass spectrometry (QMS). The profiles of etched sapphire and surface analysis were evaluated with a scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS) after the etching, respectively.
GP-1-13 Simulation of Cryogenic Process Thin Film in Semiconductor Devices
L. He (San Jose State University)
Low temperature (LT) process on metal thin film has been proven effective to increase Schottky barrier height and reduce device reverse leakage current. To further study the potential application of LT process in semiconductor devices, a computer simulation program is developed. Computer simulation for semiconductor devices and process is becoming more and more important due to the high cost of device fabrication and processing. In recent years, semiconductor device and circuit has undergone explosive growth. This growth has fueled a vast expansion of device modeling and simulation. Metal-semiconductor-metal (MSM) photodetectors are widely used in the optoelectronic integrated circuit receivers because of their compatibility with the preamplifier for their planar integration scheme, the minimum number of processing steps, high performance, and low cost. InGaAs/InP is chosen for the long wavelength application. In this work, analysis based on the results of computer simulation is presented. The Ag/InGaAs/InP contact formed by LT process showed that the Schottky barrier height is as high as 0.64eV. This value is more than doubled that of the same contact obtained by room temperature process. It is believed that the LT process could greatly enhance the performance of a MSM photodetector. The computer simulations on the LT MSM photodetector include RC time simulation, frequency response, and efficiency analysis.
GP-1-14 Influence of PVD-Coatings on the Heat Flow During the Aluminum Thixocasting Process
T. Hornig, E. Lugscheider, O. Klaassen, M. Fehlbier, P.R. Sahm (University of Technology (RWTH) Aachen, Germany)
Thixo- or rheocasting casting of aluminum are new technologies to produce high strength components with complex geometries. As a far as these technologies remain to near net shape processes, high demands are made on the components surface qualities to ensure further surface treatments like lacquering etc.. Besides increasing the total lifetime of the dies, PVD-hardcoatings have direct influence on the components surface microstructure by influencing the carrying-off of heat during solidification of the casting. This presentation focuses on the heat transfer into the die and the influence of coatings on it. In this context casting simulation software was used to characterize different coatings with regard to the heat balance in thixo- and die casting tools. Additionally the influence of PVD-hardcoatings on the flow behavior of semi-solid material during the mold filling process is of special interest. Due to the fact that the flow behavior of semi solid material is specified by the shear rate dependent viscosity, wall slip effects in the die cavity effect the flow behavior of semi solid material substantially. To investigate the influence in practical experiments a die was constructed which allows the simultaneous testing of several PVD-coatings. The tested PVD-coatings were produced by use of arc-ion-plating on die insets of hot working tool steel. Various mold filling experiments have been executed. The components surfaces were characterized by contactless topography measurements as well as by metallographic means. It was found that especially chromium based hardcoatings led to good and reproducible surface characteristics of the produced components. The properties will be set into relation to those produced by uncoated dies. Finally the influence of filling velocity of the semisolid slurry on the coated insets was investigated. It will be shown that an ultimate bonding strength of the coatings is exceeded at a certain filling velocity.
GP-1-15 Plasma Immersion Ion Implantation for Seeding Cu Electroplating on ULSI interconnects
S.Y. Chiu (National Chiao Tung University, Taiwan, R.O.C); J.H. Lin (National Tsing Hua University, Taiwan, ROC); S.J. Chang (National Chiao Tung University, Taiwan, ROC); Y.L. Wang (Taiwan Semiconductor Manufacturing Company Ltd., Taiwan, ROC); H.C. Shih (National Tsing Hua University, Taiwan, ROC); M.S. Feng (National Chiao Tung University, Taiwan, ROC)
Plasma immersion ion implantation (PIII) is a new technology for semiconductor processing. Compared with the conventional seeding process for Cu plating (such as the activated by displacement solution or sputtering), PIII has illustrated many advantages, i.e. high implantation dose rate with the implantation time independent of implant area, wild window with reproducible deposition by eliminating the activation chemistry, and conformal doping of microscopic trenches/vias. This copper electroplating process is especially attractive, in which two different kinds of conducting seed layers, i.e. Cu and Pd, can be prepared by plasma immersion ion implantation. The metal ions were implated into adhesion and braaier metals, i.e. TaN/Ta, and the suitable implantation bias and doses for seeding copper electroplating were determined by RBS and SIMS analyses. The material properties and surface morphology of the electroplated copper films were evaluated by using AFM, SEM, XRD, TEM, Peel test. In addition, gap-filling ability in Damascence Cu electroplating, especially in high-aspect-ratio cavities, would also be discussed. In this study, PIII process for seeding Cu electroplating process was proposed and shows favorable feasibility on the application for ULSI interconnects.
GP-1-17 Effect of Nitriding and Duplex Coating on the Wear of Twist Drills
I.F. Silva (Rede Temática Em Engenharia de Materiais - REDEMAT, Brazil); G.S. Vieira (Fundacão Centro Technológico De Minas Gerais-Cetec, Brazil); V.H. Baggio-Scheid (Centro Técnico Aeroespacial, Brazil); J.R.T. Branco (Fundação Centro Tecnológico De Minas Gerais- Cetec, Brazil)
Drilling continues to be an important step for equipment manufacturing. In order to decrease machining cost, companies are looking for tools with higher wear resistance and machined surfaces with high quality. Surface hardening by nitriding is being explored as a hard coating pre-treatment that, by giving the surface higher load bearing capacity, may enhance drilling tool life. In this paper drilling of AISI D3 with nitrited and duplex coated high speed drills was investigated. The nitriding was performed at 300, 350, 400 e 450 Celsius, under 15kHz pulsed plasma, 55 microseconds pulse width and at 300 Pa of nitrogen and hydrogen atmosphere, aiming zero thickness white layer. Hard TiN coating was deposited by triode e-beam ion plating. The tools performance was measured by drilling motor current, drill flank wear rate and number of blind holes drilled. The results are discussed in terms of nitrited layer hardness and depth, micro-abrasion resistance and critical scratching loads.
GP-1-18 High Speed Hobbing With HSS-PM Coated Hobs
J. Rech, M.A. Djouadi (ENSAM, France); E. Guglieelmi (ILM-CNR, Italy)
The hobbing process is a complicated technology compared to conventional cutting processes like turning. The development of the coating technology have conducted to great progress in this particular cutting operations. Nevertheless, the economical and ecological needs have necessitated to increase the hobbing speed and, at the same time, avoid lubrication. So, TiN coating which is actually used is no more suitable and do not fulfill these new conditions. In this study, a large number of hobbing and milling tests have been conducted so as to quantify the benefit of the multi-functional coatings developed in the recent years. These tests have shown the interest to use hard coating combined with selflubricant one so as to out perform the dry and high speed hobbing. The interesting performances in high speed gear hobbing of HSS-PM hobs (ASP2052) combined with a (Ti,Al)N+MoS2 coating are particularly underlined.
GP-1-19 Investigation of PVD-Coatings on AZ31HP-Magnesium-Alloys Deposited by Magnetron Sputtering
R. Wiedemann, O. Hatzenböller (Freiberg University of Mining and Technology, Germany); J. Scholz (University of Applied Sciences Zittau/Görlitz, Germany); J. Meinhardt (Institute for Surface Modification (IOM), Germany); F. Hollstein (TECHNO-COAT Oberflächentechnik GmbH, Germany)
Magnesium-based light-metal alloys belong to a class of structural materials with increasing industrial attention. Magnesium alloys show lowest density among the engineering metallic materials, low cost and large reserves. However, the limitations according to mechanical strength and the low corrosion resistance restrict their practical application. To improve the surface hardness and the corrosion resistance PVD-coating techniques offer possibilities to overcome these drawbacks. In the here presented paper, relevant mechanical and chemical properties of various PVD-coatings on high purity (HP) AZ31-magnesium-alloy specimens are evaluated. In detail the industrial very important double/multi layer systems Ti-TiN, Cr-CrN, Zr-ZrN, TiAl-TiAlN and Cr-CrN-TiCN and the super-lattice composites NbN/CrN and AlN/TiN are considered. All of these coatings were deposited by d.c. magnetron sputtering. To circumvent up-scaling problems the sputtering processes have been performed by two different coating devices, namely PLS 500 (laboratory scale) and HTC 1000/4 ABS (industrial scale). After coating the mechanical behaviour and the corrosion resistance of the specimens have been studied. The chemical composition of the thin films were analyzed by GDO(E)S and XPS. A special emphasis of the study was the development of stripping procedures for the coated specimens. Results for decoating of TiAlN from AZ31HP substrates are described.
GP-1-20 Fatigue Behavior of a 4340 Steel Coated With an Electroless Ni-P Deposit
F.J. Díaz, M. Passarelli, J.A. Berríos, E.S. Puchi-Cabrera (Universidad Central de Venezuela)
The present investigation has been conducted in order to study the effect of an electroless Ni-P deposit, with a P content of approximately 10 wt. % and a nominal thickness of 18 µm, on the fatigue life and fatigue limit of a quenched and tempered 4340 Steel. The deposition of the coating was carried out at Reliable Plating Corp., Chicago, Illinois, USA. The evaluation of the tensile and fatigue properties was conducted with the coating both in the as-deposited condition and after a post heat treatment (PHT) at 698 K for 1 hour. The fatigue tests were carried out under rotating bending conditions at a frequency of 50 Hz. The uncoated substrate samples were tested in the stress range of 612-726 MPa, whereas the coated samples with the coating in the as-deposited condition were tested in the range of 308-536 MPa. Finally, the coated and PHT samples were tested in the stress range of 460-574 MPa. The results obtained have allowed the computation of the parameters involved in the Basquin equation that describes the relationship between the number of cycles to failure and the alternating stress applied to the material. The fracture surfaces of some selected samples were analyzed by means of SEM in order to determine the origin of the fatigue cracks and to study in more detail their propagation towards the substrate. It has been concluded that the deposition of such a coating gives rise to a significant reduction in the fatigue properties of the substrate steel, which is more marked when the deposit has been PHT.
GP-1-21 Fatigue Behavior of a 4140 Steel Coated With a NiMoAl Deposit Applied by HVOF
K. Padilla, A. Velásquez, J.A. Berríos, E.S. Puchi-Cabrera (Universidad Central de Venezuela)
An investigation has been conducted in order to study the effect of a NiMoAl deposit, known commercially as Metco 447, of approximately 68 µm thick, applied by high velocity oxygen fuel (HVOF), on the fatigue life and fatigue limit of a quenched and tempered 4140 steel. The deposition process was conducted at Plasmatec Ingenieros, Guarenas, Venezuela, employing a JP 5000 gun. Previously to the coating of the samples these were grit blasted with alumina at a pressure of 50 psi. The study involved the determination of the fatigue properties of the steel in the as-received condition, after grit blasting and after grit blasting and thermal spraying deposition. The fatigue life was evaluated in the alternating stress range of 440-580 MPa and the fatigue limit was determined by means of the staircase method, employing a step of 7 MPa. All the tests were conducted under rotating bending conditions at a frequency of 50 Hz. The results obtained indicate that both grit blasting and coating the substrate steel with this deposit gives rise to a significant reduction in its fatigue properties. The SEM analyses of the fracture surfaces of some selected samples indicated that the alumina particles retained in the substrate after blasting constituted stress concentration sites that gave rise to the nucleation of the fatigue cracks responsible for the fracture of the specimens.
GP-1-22 Manufacturing Processes and Properties of Zn and Ni-An Co-depostied with Nanometer Diamond Powder
Wun-Hsing Lee (National Taipei University of Technology, Republic of China)
The composite coating layers of Zn and Ni-Zn co-deposited with nanometer diamond powder had been successfully manufactured by the method of electrical plating processes on the carbon steel substrate. The diamond powder used in this research work had an average size of 25 nm. A volume of 800 ml of electrolyte with nanodiamond powder was prepared in a beaker and ultrasonically dispersed for at least 5 min. After experiments, the corrosion resistance of these composite plating layers were investigated by the salt spray(fog) testing procedure for 0.5 to 30 days. It was found that the composite plating layers exhibited two to three times of corrosion resistance than that of pure Zn or Ni-Zn alloy without reinforced by the nanodiamond powder. The structure, surface morphology and the cross section of composite plating layers were examined by the X-ray, SEM, and optical microscope. The distribution of chemical compositions of composite plating layers were analyzed by the energy despersive analyzer of X-ray (EDAX) as well.
GP-1-23 Effect of PFC Gas Combination on Global Warming Gas Emission in the PECVD Plasma Cleaning Process
B.H. Oh, G.Y. Yeom, J.W. Bae, N.E. Lee, K.J. Kim, K.S. Kim (Sungkyunkwan University, Korea)
Perflourocompounds(PFCs) emitted by the industry are known to cause the serious global warming effect. To prevent further increase of global warming, members of semiconductor industry have decided to reduce the emission of PFCs responsible for global warming by 10% until 2010. In the semiconductor processing, one of the most responsible processes for the global warming is chemical vapor deposition (CVD) plasma cleaning process, and where, a large quantity of PFCs are used to in-situ clean the chamber. Currently, various gases such as C4F8, C4F8O, C3F8, NF3, etc. which have low global warming potential have been studied by many researchers to replace conventionally used PFCs such as CF4, SF6, C2F6, etc. In this study, the characteristics of the plasma cleaning of a silicon nitride plasma enhanced chemical vapor deposition chamber (PECVD) have been studied using gas combinations of NF3,C4F8/O2, and C4F8O/O2. To enhance the gas dissociation, an inductively coupled plasma apparatus has been used just before the introduction to the PECVD chamber and its effect on the plasma cleaning of the chamber and gas emission properties were also investigated. The destruction removal efficiencies (DREs) and MMTCE(million metric tons of carbon equivalent) were calculated by evaluating the volumetric emission using quadrupole mass spectrometry(QMS) and Fourier transform infrared spectroscopy(FTIR).
GP-1-24 The Magnetization Frequency Dependence of Enhanced-Inductively Coupled Plasma
B-H O, S.-H. Rha (Inha University, Korea); S.-G. Park (Inya University, Korea)
Inductively Coupled Plasma(ICP) has a several merits, such as high density at low pressure at cheap price, simplicity, and good expandability. However, further efforts to improve plasma density and selectivity were hampered by some limitations; the power is transferred from the electric fields to plasma within a very short skin depth layer, and it is hard to control the ion energy, electron temperature, and ion/radical ratio. To improve the limitation of ICP, various solutions are proposed, such as new type of rf antenna, Faraday shield, applying the magnetic field and etc. Specially, the magnetization characteristics of plasma are studied most important. Recently, a novel method proposed by us, named as `Enhanced-ICP’(E-ICP) using periodic weak axial magnetic field added to a normal ICP source, has shown great improvement in etch characteristics. The physical origin of these good characteristics has not been known yet clearly. In this paper, we analyzed the plasma characteristics of E-ICP by the fast-operation technique of Langmuir probe and optical emission spectroscope. The periodic variation of ion density and the electron density are shown clearly in this measurement, and the effective electron temperature shows similar behavior. It is quite interesting to compare these characteristics to that of the pulsed plasma technique. The evidences of controlling plasma characteristics with magnetization frequency will be reported also. Furthermore, simple theoretical analysis for E-ICP is carried out as a stepping stone of further discussion.
GP-1-25 Improvement of Etch Homogeneity in Large Area by the Hybrid Application of the Periodic Weak Axial Magnetic Field to a 2x2 Array ICP Source
B-H O (Inha University, Korea); S.-G. Park (Inya University, Korea); C.W. Kim, M.Y. Sohn (Inha University, Korea)
Recently, the size of flat panel display(FPD) is increased and the size of the pattern feature size is shrunk. The dry etching technique for FPD glass needs to be improved especially for the large area uniformity. Various efforts, such as a deformed 1-turn antenna, section antennas, and so forth, has been tried to improve the etch uniformity. Here, we report the result of hybrid application of the periodic axial magnetic field to a 2x2 array ICP source. The periodic axial magnetic field, named as the Enhanced-ICP, is known to improve not only the etch rate but also the homogeneity, which is not obtained by the constant axial magnetic field. Processes of photo-resist etch on the 350×300mm glass with oxygen plasma by normal CW-ICP, M-ICP and E-ICP provides the evidence of improvement of etch rate and homogeneity in large area by E-ICP operation. This hybrid operation is expected to improve processes of other materials also.
GP-1-26 Plasma-Based Ion Implantation for Industrial Decorative Applications.
Y. Arnal (Centre National de la Recherche Scientifique, France); A. Lacoste (Institut des Sciences Nucléaires, France); J. Pelletier (Centre National de la Recherche Scientifique, France)
The elaboration of decorative thin films can be currently achieved using plasma-based ion implantation (PBII) technique. The desired coloration can be obtained by implantation of elements either able to form surface films exhibiting well-defined intrinsic colors, or by formation of dielectric films of given optical index and thickness. Typical examples of ion implantation in aluminum, titanium and stainless steel materials are presented, e.g. nitrogen ion implantation in aluminum and titanium, or oxygen in aluminum, titanium and stainless steel. The experimental results demonstrate the feasibility of uniform processing on convex shapes and the possibility to reach a perfect control of the coloring through the dose and energy of implanted ions. In particular, implantation of oxygen in stainless steel produces a dielectric film made up of chromium and iron oxides. The characterization of the films shows that the resulting coloration varies monotonously as a function of the dose and penetration depth of implanted ions.
GP-1-27 Industrial Application of WC-TiAlN Nanocomposite Films Synthesized by Cathodic Arc Ion Plating Process
H.Y. Lee, K.H. Nam, J.S. Yoon (Sung Kyun Kwan University, Korea); J.G. Han (Sung Kyunkwan University, Korea); Y.H. Jun (J&L Technology, Korea)
In recent, hard coatings such as TiN, TiAlN and CrN have been developed for many industrial applications due to good wear resistant and thermal stability. These general hard coatings, however, have been limited to cutting process with high speed and lubrication free machining because of decreasing of hardness and oxidation in elevated temperature. Especially there have been only few reports that hard coating was adapted to PCB drills operated at high speed and lubrication free cutting conditions. Therefore superhard nanocomposite coating with high hardness and good thermal stability have been emerging as an application of high speed machining tools. In previous works, we synthesized WC-TiAlN new nanocomposite films with controlled microstructure by cathodic arc ion plating process. The microhardness of WC-TiAlN nanocomposite coating was evaluated up to 50 Gpa and showed good thermal stability at the temperature of 900? with control of microstructure and the concentration of Al in films. In this study WC-TiAlN nanocomposite coating was deposited on PCB drill for the enhancement of life time with various Al concentration. Particularly Ti ion bombardment process was varied with bias voltage, Ti target current and process time for the maintenance of edge sharpness. Edge sharpness was observed by Scanning Electron Microscope(SEM) and a performance of films on PCB drills were evaluated
GP-1-28 CVD Diamond Deposition onto Dental Burrs
W. Ahmed, H. Rajab, N. Ali (Manchester Metropolitan University, United Kingdom); M. Sarwar (University of Northumbria, United Kingdom); H. Sein, C. Rego (Manchester Metropolitan University, United Kingdom)
Dental burrs are commonly used in the patient and in the dental laboratory for removing a combination of fillings and tooth materials. Conventional diamond burrs have a number of problems associated with the heterogeneity of the crystallites, decreased cutting efficiency, the need for repeated sterilization and short life. A new CVD technology based on a vertical hot filament system surrounding the substrate to coat dental burrs uniformly with adherent diamond films has been developed. To enhance ion bombardment the dental burrs have been negatively biased and the effects of the biasing process on the film characteristics are reported. The effects of process parameters such as filament temperature, power, substrate biasing and surface pretreatment on the cutting characteristics have also been investigated. Nucleation effects have been found to be important in determine the film adhesion and therefore the product performance. In this respect surface pretreatments have been found to be valuable methods for enhancing the nucleation and crystallites densities.
Time Period TuP Sessions | Topic G Sessions | Time Periods | Topics | ICMCTF2001 Schedule