ICMCTF2001 Session HP-1: Symposium H Poster Session

Wednesday, May 2, 2001 5:00 PM in Room Atlas Foyer

Wednesday Afternoon

Time Period WeP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2001 Schedule

HP-1-1 Electrochemical Deposition of Barium Titanate Films in a Wide Electrolytic Voltage Range
C.-T. Wu, F.-H. Lu (National Chung Hsing University, Taiwan, R.O.C.)
Barium titanate films were deposited by electrochemical oxidation method using 0.5 M barium acetate as electrolyte in the voltage range from 3 V to 100 V. The microstructure of deposited films was primarily controlled by the applied voltage. We first determined the structure-sensitive voltage ranges by the voltage scanning electrolysis and then prepared the films by galvanostatic mode to the preset voltages then changed to the potentiostatic mode. The growth mechanism of BaTiO3 thin films at voltages less than 30 V possessing uniformly distributed spherical like small particles is due to dissolution-recrystallization. The thickness of the films was less than 1 µm. At voltages of 45 V and 60 V, X-ray diffraction results show a favorable formation of the rutile TiO2 phase. The mechanism of forming crater-shaped and large-grained BaTiO3 films at voltages greater than 60 V can be attributed to the anodic spark deposition. The thickness of films could reach about 20 µm. The resistivity of this thick film was measured about 4.7x1011 Ω-cm. Electrochemical impedance measurement showed very high impedance and therefore very good corrosion resistance was expected in 0.1 M NaOH.
HP-1-2 Low Dielectric Constant Films Prepared by Low Pressure Chemical Vapor Deposition
C. Popov, L. Zambov (University of Kassel, Germany); B. Ivanov (University of Chemical Technology and Metallurgy, Bulgaria); G. Georgiev, M.F. Plass, W. Kulisch (University of Kassel, Germany)
Dielectric films have been prepared by low pressure chemical vapor deposition (LPCVD) from two chemical systems: C3N3Cl2N(SiMe3)2 in oxygen-containing ambients and SiCl4, C2Cl4, NH3 and/or H2O vapor. The bulk and the surface composition of the films was determined by elastic recoil detection (ERD) analysis, Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS); it was found that the films are composed of Si, C, O, N and H with different concentrations depending on the precursors and the process parameters. Fourier transform infrared (FTIR) spectroscopy, reflection electron energy loss spectroscopy (R-EELS) and XPS were used to characterize the bonding structure of the coatings and the results showed a variety of chemical bonds between the elements composing the films. The electrical properties were investigated on MIS structures (Al/film/Si); the films were insulating with resistivities above 1011 Ω cm and the dielectric constant calculated from the measured capacitance was in the ranges of 2.8 - 3.5 and 1.7 - 2.5 for films deposited from the two systems, respectively. These values combined with the good adhesion and chemical inertness make the obtained materials very attractive for future applications.
HP-1-3 The Effect of Pressure Control on the Thermal Stable a-C:N Thin Films With Low Dielectric Constants by ECR-plasma
X.W. Liu, J.H. Lin, J.W. Hsu, S.H. Lai, L.T. Chao, H.C. Shih (National Tsing Hua University, Taiwan,ROC)
Amorphous carbon nitride (a-C:N) and fluorinated amorphous carbon nitride (a-C:N:F) thin films were prepared on silicon as low dielectric constant materials by using an electron cyclotron resonance plasma with an application of a negative rf bias to the silicon substrate in a mixture of Csnb 2Hsnb 2, Nsnb 2 and CF4 as precursors. The dielectric constants (k) of a-C:N and a-C:N:F thin films were reported as low as 1.4 and 1.1 at 1 MHz. The thermal stability of the films has been improved by the incorporation of nitrogen atoms into the amorphous carbon network. The dielectric constants of a-C:N:F thin films can be even lower than a-C:N due to the addition of fluorine atoms. The basic structure, composition and electronic properties of these films were analyzed by Fourier transformation infrared (FTIR) spectroscopy, Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), atomic force microscope (AFM), field emission scanning electron microscopy (FE-SEM) and dielectric constant measurements. The dielectric constants of a-C:N thin films can be significantly lowered by the formation of the cross-linked structure and hard C-N bonds in the films due to the increasing of the N/C ratios, and sp3 bonded carbon contents in the a-C:N thin films with the decreasing of precursor pressure and increasing of ECR-power.
HP-1-4 Substrate-Induced Properties of a Ferroelectric Thin Film
L. Lahochel (University of Compiegne, France); V.L. Lorman (University of Montpellier 2, France); J.M. Roelandt (University of Compiegne, France); S. Rochal (University of Rostov-on-Don, Russia)
Recent development in ferroelectric thin film studies is promising for memories applications (i.e. in ferroelectric random access memories - FRAM'S). The principle of data storage is based on the localizedd polarisation switching in nano-regions of a thin film. In this paper, we focus our attention on the new electro-mechanical properties induced by the change from a bulk ferroelectric to the thin film material and in particular by the interactions with a thick substrate. A phenomenological model together with a numerical modeling are proposed. The approach contains detailed crystallographic analysis and thermodynamical consideration including non-linear thermo-electro-mechanical coupling. We also take into consideration the misfit dislocations and its dependence on the nano-film growth process, mechanical 2D clamping condition imposed by the substrate and the surface morphology (including superstructure formation). The model is applied to the case of the epitaxial PbTiO3 nano-films grown on MgO(100) single crystal surface. Calculated phase diagram in the T (temperature) - hf (film thickness) plane shows qualitatively different regimes with the predominance of the structures with different polarisation orientation with respect to the film/substrate interface. A numerical modeling of the strain evolution in the temperature region from the growth regime to room temperature is performed.
HP-1-5 Mechanical and Thermophysical Properties of PECVD Oxinitride Films Measured by MEMS
M.S. Guimarães (Laboratório de Fenomenos de Superfície, Brazil); A. Sinatora (Polytechnic School of the University of Sao Paulo, Brazil); M.N.P. Carreño, M.I. Alayo, I. Pereyra (Laboratório de Microeletronica, Brazil)

MEMS manufacturing is very important for the development of new sensors and miniaturized faster devices, due to the requirement of high accuracy and low manufacturing costs. To improve the microdevices performance however, it is necessary to develop new manufacturing processes and materials as well as to study the mechanical and thermophysical properties of the materials involved as : thermal and electrical conductivity, modulus of elasticity and thermal expansion coefficient.

In this work we report the fabrication of MEMS1 device utilizing a silicon oxinitride2,3 (SiOxNy) microstructure (grown by PECVD technique at low temperatures) with aluminum resistors on top, in order to study the mechanical and thermophysical properties of the SiOxNy films. The mechanical behavior is studied through the measurement of device displacements caused by thermal actuation due to heating by Joule effect.

The results show the feasibility of utilizing PECVD SiOxNy membranes for MEMS manufacturing, which at the same time leads to a simple method to determine the thermophysical properties of the PECVD material.


1 E. Obermeier, M. Res. Symp. Proc. Vol. 444(1997) 39-57.
2 I. Pereyra and M. I. Alayo, Thin Solid Films 332 (1998) 40-45.
3 I. Pereyra and M. I. Alayo, J. Non-Cryst. Solids 212 (1997) 225-231.

HP-1-6 The Electroless-Plated Copper for Sub-micron Interconnect Metallization Through the Catalytic Effect of Palladium by PIII
J.H. Lin, W.Z. Hsei, J.W. Hsu, X.W. Liu, C.S. Kou, H.C. Shih (National Tsing Hua University, Taiwan, ROC)
The major goal of this study is to combine the techniques of using plasma immersion ion implantation (PIII) to implant Pd as a catalyst onto a TaN diffusion barrier layer and electroless plating for the deposition of Cu to accomplish the ULSI interconnection metallization. Both patterned and blanked wafers were employed using Pd as catalyst by PIII after which copper is electroless plated on TaN/FSG/Si multi-layer structure. The Pd atoms were sputtered from a negatively biased target and ionized in an argon inductively coupled plasma (ICP). The Pd ions were adequately implanted into the substrate with a highly pulsed negative bias (~6000 V). The XRD spectra showed that the electroless plated copper film has an obvious Cu(111) preferred orientation. Characterized by the cross-section images of FESEM and TEM, it is found that under the circumstances of higher substrate bias voltage and plasma ionization, the electroless copper grows upward from the bottom of the vias (with: 0.3µmm; aspect ratio: 5), with excellent gap filling ability. The result of this process, by employing the scratch test, showed that higher substrate bias and higher plasma ionization can effectively enhance the adhesion strength between copper film and TaN layer.
HP-1-7 Surface Modification of PET Thin Film Using Energetic Oxygen Ion Beam
K. Yamaguchi, Y. Watanabe, A.M. Ektessabi (Kyoto University, Japan)
The morphology and chemical structure of polymeric films play a key role in the adhesion of polymers to metal thin films. The effect of energetic oxygen ion beam irradiation on the surface physicochemical structure of polymeric thin film is studied in this paper. Polyethylene terephthalate thin film was irradiated with energetic (1.0 MeV) O+ ion beam. Ion beam irradiation was performed using a Tandetron type accelerator. The beam incidence was normal to the surface and irradiation dose was 1.0 x 1015 ions/cm2. The surface-treated film was investigated using NRA (Nuclear Reaction Analysis). NRA depth profiling of oxygen atoms revealed interesting effects on the surface physicochemical structure of the PET thin film surface. The distribution of oxygen atoms as a function of depth, changed after the irradiation. Oxygen atomic density from the surface to a depth of 1.0 µm decreased, and increased in the range of 1.2 to 2.5 µm comparing to the as-received PET thin film.
HP-1-8 Studies of Mid-Frequency Pulsed DC Biasing
P.J. Kelly, J. O'Brien, R.D. Arnell (University of Salford, United Kingdom); R. Hall (Advanced Energy Industries UK Ltd., United Kingdom); G. Roche (Advanced Energy Industries Inc.)
The use of pulsed DC power at the substrate is a recent development in the magnetron sputtering field. Pulsing the substrate bias voltage in the mid-frequency range (100-350kHz) has a profound effect on the energy and fluxes of particles incident at the substrate. For example, in this study, increases in ion current of up to three times were measured at the substrate when the bias voltage was pulsed, compared to the equivalent DC case. Furthermore, the ion current does not saturate, but continues to increase with increasing bias voltage and pulse frequency. It is believed that under these conditions a second discharge, sustained by the magnetron discharge, is initiated at the substrate. Studies of the current-voltage (I-V) waveforms at the substrate have also shown that significant electron currents are drawn at the substrate during the pulse-off period of the pulse cycle. Again, the magnetron discharge is the source of this flux. The combination of increased ion currents and substantial electron currents leads to very high heating rates at the substrate. An investigation of titania films grown under various bias conditions has shown that pulsed biasing can lead to structural modifications in the films. It was found that the crystalline structure could be shifted from weakly crystalline anatase to strongly crystalline rutile through control of the bias conditions.
HP-1-9 The Effect of Ammonia Plasma Treatment on Low-k Methyl-Hybrido-Silsesquioxane (MHSQ) against Photoresist Stripping Damage
T.C. Chang (National Sun Yat-Sen University, Taiwan, R.O.C.); T.M. Tsai, Y.S. Mor, C.F. Tang, J.Y. Tseng, S.M. Sze (National Chiao Tung University, Taiwan, R.O.C.); P.T. Liu, Y.L. Yang (National Nano Device Laboratory, Taiwan, R.O.C.)
While critical dimensions continue to shrink into the deep submicron range for the need of ULSI circuit, the RC constant that governs both the parasitic delay time (i.e. the speed of operation) and corresponding power dissipation, becomes a dominant factor in improving the chip performance. An organic SOG, the Hybird-Organic-Siloxane-Polymer (HOSP), which has high evaluation in ULSI applications because of the low dielectric constant about 2.5. It is also one suitable material of Methyl-Hybrido-Silsesquioxane (MHSQ) films, and simultaneously has good gap filling, low stress and comparable enough strength etc.. Face with the same issues of organic low k materials, however, the quality of HOSP film is degraded after photoresist removing. This instability is one of the major problems in using HOSP as a low-k material. In this work, the HOSP film has been investigated for the intermetal dielectrics application. In addition, ammonia-plasma pre-treatment was applied to HOSP film preventing damage from photoresist stripping processes (i.e. O2 plasma /and chemical wet stripping). It is found that the leakage current of NH3 plasma treated HOSP films is decreased significantly and the dielectric constant is maintained a low k value. The effect of ammonia plasma on HOSP dielectrics is due to the formation of a thin nitride-like layer. Material analyses and electric measurements confirm our inference. This indicates NH3 treatment is a promising technique to enhance the resistance of HOSP film to photoresist stripping process.
HP-1-10 Improvement of Low Dielectric Constant Methylsilsesquioxane(MSQ) by Boron Implantation Treatment
T.C. Chang (National Sun Yat-Sen University, Taiwan, R.O.C.); Y.S. Mor (National Chiao Tung University, Taiwan, R.O.C.); P.T. Liu (National Nano Device Laboratory, Taiwan, R.O.C.); K.C. Hsu (National Chiao Tung University, Taiwan, R.O.C.); Y.L. Yang (National Nano Device Laboratory, Taiwan, R.O.C.); S.M. Sze (National Chiao Tung University, Taiwan, R.O.C.)
As the critical dimension of integrated circuits is scaled down, the linewidth and spacings between metal interconnections are made smaller. A larger portion of the total circuit transmission time (i.e., RC delay) will be due to parasitic resistance and capacitance of the interconnections and the interconnection becomes a bottleneck in improving the chip performance such as the speed and power consumption. An organic spin on glass, the Methylsesquioxane (MSQ), which has a dielectric constant of ~2.7, has been available from Allied Signal Inc. It’s widely applied in IC fabrication because of the low dielectric constant (low K). Even although the MSQ possesses such good dielectric properties, the leakage current of MSQ is still higher than traditional silicon dioxide. The higher leakage current would lead to reliability issue. In this work, we have used the boron implantation treatment to improve the quality of MSQ. The leakage current of MSQ can be significantly reduced and the dielectric constant could be still maintained a low value after boron implantation. In addition, material analysis and electrical characteristics were performed to investigate the properties of boron-implanted MSQ film. Experimental results show that boron implantation is a promising technique to improve the MSQ film properties.
HP-1-11 Aluminum Trench Filling with Nanoporous Silica Through Spin Coating
T.G Tsai, F.M. Pan (National Nano Device Laboratory, Taiwan, ROC); L.T. Chao, A.T. Cho, C.M. Yang, K.J. Chao, H.C. Shih (National Tsing Hua University, Taiwan, ROC)
The aluminum trench filling of 150 nm node with molecularly templated nanoporous silica films has been characterized by using Fourier transform infrared spectroscopy (FTIR), X-ray diffraction (XRD) and scanning electron microscopy (SEM). Both the hardness and adhesion of the nanoporous silica film have been evaluated by nanoindentation and stud-pull measurements. The dielectric constant and mechanical strength of the nanoporous silica films could be well controlled by their porosity, hydrophobicity and pore structure. In this study, spin-coating conditions have been optimized by spin speeds, precursor compositions & concentration and pre-coating treatments. XRD and Kr adsorption data indicate that the calcined nanoporous silica films possess ordered pore structures with 45-75% porosity and 5-9 nm pore size. The hydrophobicity of the calcined nanoporous silica films has been improved by capping the silanols with methylsilyl groups. The film was exposed to hexamethyldisilazane (HMDS) or trimethylchlorosilane (TMCS) under carefully controlled conditions. The calcined film maintains a reasonably ultra low dielectric constant within the range of 1.5 to 2.0. Since triblock copolymer Pluronic P-123 (P-123) of a low decomposition temperature at around 200°C was used as the template, the removal of template can be proceeded at relatively low temperatures. The effect of calcination on the shrinkage of nanoporous silica film has also been studied.
HP-1-12 Characterization of Undoped and Cu-doped ZnO Films for Surface Acoustic Wave Applications
J.B. Lee, H.J. Lee, S.H. Seo, J.S. Park (Hanyang University, South Korea)

Recently, thin films of polycrystalline ZnO due to its strong piezoelectric effect has been investigated extensively in electro-acoustic devices such as surface acoustic wave(SAW) devices. A highly (002)-preferential growth of ZnO is essential for its use as a good piezoelectric material of the SAW device. It has also been reported that maximizing its electrical resistivity may be helpful for obtaining a higher electromechanical coupling coefficient. Among some impurities for modifying the resistivity of ZnO, Cu has been widely studied. However, there still exist many unreported studies that are required to elucidate the effects of Cu-doping on material properties of ZnO films.

In this study we present experimental results to show the relationship between Cu-doping conditions and properties of ZnO, such as its (002)-preferential growth and resistivity. Cu-doped ZnO films have been deposited on SiO2/Si substrate at 200°C by RF magnetron co-sputtering of ZnO targets with Cu-chips attached. Crucial deposition parameters and their ranges used to prepare the Cu-doped films are as follows; relative ratio of Cu-chip sputtering area = 0 ~ 25 %, O2/(Ar + O2) mixing ratio = 0 ~ 90 %, and reactor pressure = 3 ~ 12 mTorr. The texture coefficient(TC) values for (002)-orientation, estimated from the XRD spectra of deposited films, electrical resistivities, and relative Cu-compositions of deposited films have been systematically analyzed as a function of doping conditions. The XPS analysis suggests that the relative densities of metallic Cu atoms and CuO(Cu2+)-phases within the deposited films may play an important role of determining their electrical resistivities. It should be noted from the experimental results that highly resistive(>1010Ωcm) ZnO films with high TC values(>80 %) can be achieved by Cu-doping. SAW devices with Cu-doped ZnO/IDT/SiO2/Si configuration are also fabricated to estimate the effective electro-mechanical coupling coefficient(keff2) and their insertion loss. It is observed that SAW devices using the Cu-doped ZnO films have higher keff2values with lower insertion loss, compared to those using undoped ZnO films.

HP-1-13 Development of the Low Angle Forward Reflected Neutral Beam for Materials Processing
D.H. Lee, J.W. Bae, G.Y. Yeom (Sungkyunkwan University, Korea)
Plasma etching is one of the key technologies in the fabrication of deep submicron silicon based integrated circuit. However, plasma etching has a serious disadvantage due to the energetic charged particles such as positive ions and photons generated in the plasma which causes radiation damage causing physical defect, increased gate oxide breakdown, charging, etc. To avoid these charge-related and physical impact-related damages, several low-damage processes have been proposed. One possible alternative to avoid these problems is a low energy neutral beam etching. In this study, a low angle forward reflected neutral beam etching apparatus has been developed where all low energy (< 25eV) reactive ions extracted from an ion gun impact on a flat surface with 5 to 15 degree to produce near-parallel radical beam flux. This reflected neutral beam source has been devised to be scaleable to 8 inch diameter and also to etch polymer and silicon anisotropically. In order to obtain a large number of ions, inductively coupled plasma was used as the plasma source and specially prepared extraction grids which have very dense and small holes were used. Details of the developed neutral beam source and the electrical properties of the neutral beam source will be discussed. Also, the etch properties using the low angle reflected neutral beam will be discussed.

<.

HP-1-14 Etch Characteristics of SBT(SrBi2Ta2O9) Using Magnetized Inductively Coupled Plasmas
Y.J. Lee, C.H. Jeong, J.W. Bae, G.Y. Yeom (Sungkyunkwan University, Korea)
SrBi2Ta2O9(SBT) with a Bi-layered structure is actively studied for the application of ferroelectric random access memory (FRAM) as one of the nonvolatile memory materials due to its superior ferroelectric properties. Dry etching has to be used to etch this material to obtain highly anisotropic etch characteristics. In addition, dry etching of ferroelectric materials requires high etch rates and etch selectivities over Pt, RuO2, and SiO2 without causing any physical and electrical damage to the device. However, due to the low volatility of the halogen compounds of the material constituents, the dry etching shows very low etch rates even with conventional high density plasmas such as inductively coupled plasmas. In the previous study, a magnetized inductively coupled plasma (MICP) equipment utilizing combinations of Helmholtz type electromagnets and magnet bucket type permanent magnets to a conventional ICP has been developed and applied to etch polysilicon and Al layers. The application these magnets showed the increased etch rates, better etch uniformity, and higher etch selectivity over photoresist compared to the conventionally configured ICP. Therefore, in this study, the similar MICP configuration has been used to etch SBT and the effects of etch parameters such as rf power, bias voltage and gas combination of BCl3, Cl2, HBr, Ar, and Kr on the SBT etch characteristics were investigated in addition to the effect of the magnet configurations.
HP-1-15 Chemical Interactions and Adhesion Properties between Metals (Cu, Ta) and Plasma-Treated Thiophene-Based Plasma Polymer Thin Films
K.J. Kim, K.S. Kim, N.E. Lee, M.C. Kim, J.-H. Boo (Sungkyunkwan University, Korea)
Organic thin films formed by plasma polymerization can be applied in many electrical or electronic fields, such as light-emitting diodes (LEDs), thin film transistors (TFTs), and low-k inter-metal dielectric materials in Cu interconnect technology. In particular, researches on the thiophene (C4H4S) oligomers used in this work are driven by potential applications in semiconductor-based components, and nonlinear optical and electro-luminescent devices. In this work, we studied chemical interaction and adhesion properties between metal (Cu, Ta) and plasma-treated thiophene-based plasma polymer thin films deposited by plasma-enhanced chemical vapor deposition (PECVD). Plasma polymer thin films deposited using the thiophene precursor at the growth temperature of 50 ~ 400°C were shown to have the dielectric constant as low as 2.70 and thermal stability up to 400°C. The measured leakage current was ~10-8 A/cm2 at 1 MV/cm. In order to generate the new functional groups that contribute to improvement in the adhesion between metal and plasma polymer, we performed O2 and N2 plasma treatment on the surfaces of the thiophene-based plasma polymer thin films. Chemical interactions were analyzed by XPS. Effects of plasma treatment and thermal annealing on the adhesion characteristics were measured by a tape test and scratch test. Metals (Cu and Ta) were deposited onto the plasma-treated thin films by DC magnetron sputtering of Cu and Ta targets at the low DC power of 30 W. Ta layers were deposited with the thickness of 40 nm for XPS analysis and of 200 nm for scratch test, respectively. The formation of new binding states on the surface of thiophene-based plasma polymer thin films treated by various plasma improved adhesion characteristics between metals and this plasma polymer thin films. Annealing in vacuum at 450°C for 1 hour greatly affected the thermal stability and their adhesion characteristics.
HP-1-16 Influence of Deposition Pressure on Gas Sensitivity of DC Sputtered SnO2 Films
J.M. Correia-Pires, J.B. Almeida, V. Teixeira (Universidade do Minho, Portugal)
SnO2 is a semiconductor with good sensitivity to a wide variety of gases. It has been produced by several PVD and CVD techniques, but the sensitivity is highly dependent on the technique used in its synthesis. Thin SnO2-based coatings with gas sensitivity have been produced using DC reactive planar magnetron sputtering. However it is still necessary to optimize the synthesis process in order to improve sensor performance. The influence of deposition pressure on the thin film characteristics have been studied. Deposition rates and film density have been determined using data obtained from mass difference measurements, optical transmission spectra and scanning electron microscopy (SEM). Structural properties - crystal structure and orientation,surface morphology, grain size and film strains - have been studied using data from atomic force microscopy (AFM), SEM, X-ray diffraction (XRD) and curvature measurement of thin glass substrates. Finaly the coatings' bulk and surface composition was investigated using energy dispersive X-ray analysis (EDX) and X-ray photoelectron spectroscopy(XPS). It has been found a threshold range of pressures below which the film compositon changes from SnO2 to SnO, loosing thus gas sensitivity characteristics. In the SnO2 pressure region,coatings with different grain size and porosity have been produced. Gas sensitivity of the latter to carbon monoxide is reported.
HP-1-17 A Preliminary Study on the Formation of SiO2 Aerogel Thin Film on Sulfidation-Treated GaAs
H.-J. Yoon, S.-B. Jung, H.H. Park (Yonsei University, Korea)
Scaling down the feature size in semiconductor devices results in parasitic capacitance, cross talk problem, etc. This signal runtime delay, called RC time delay, can be solved by applying more conductive interconnect and low permittivity materials. One of the various low-k candidate materials, SiO2aerogel film has been focused due to its low dielectric constant. Its porous structure enables the application for the intermetal dielectric (IMD).In this study, the application of SiO2aerogel to GaAs was studied. However the preparation of aerogel film was based on the sol-gel process, the preparation of GaAs surface was a key factor for the application. Solution of this challenge was the sulfidation of GaAs surface, which protects the surface during sol-gel procedure. The interfacial state and the properties of spin-coated aerogel film were characterized using X-ray photoelectron spectroscopy and Fourier transformed Infra-red spectroscopy. The electrical behavior of the SiO2aerogel film/GaAs system was evaluated. The application probability of SiO2aerogel to GaAs was discussed.
HP-1-18 A Realization of GaAs Metal-Ferroelectric-Insulator-GaAs (MFIS) Structure
M.G. Kang, J.K. Yang, H.H. Park (Yonsei University, Korea)
it is well known that ferroelectric field effect transistor shows advanced characteristics such as fast switching speed, non-destructive readout operation (NDRO), and small device size. Ferroelectric film as a gate is difficult to fabricate on semiconductor surface because of interfacial unstability. However metal-ferroelectric-insulator-semiconductor (MFIS) structure has been proposed to be a possible ferroelectric gate. In Si technology, active research is made recently to realize it, but its application to GaAs device has not yet been examined. in this work, GaAs MFIS structure was fabricated for the first time, and the feasibility of application for NDRO ferroelectric random access memory (FRAM) was estimated. The e-beam evaporated Gd2O3was employed as a stable insulator with low interface state density. Lead zirconate titanate (PZT) thin film was deposited on Gd2O3/GaAs to form MFIS structure by conventional sol-gel method. The interfacial quality of Gd2O3/GaAs was confirmed by capacitance-voltage measurement and ferroelectricity of PZT thin film was evaluated from its memory window characteristics. The properties of PZT/ Gd2O3/GaAs were characterized using x-ray photoelectron spectroscopy, Auger electron spectroscopy, and x-ray diffraction pattern. The result showed that memory window of GaAs MFIS was dependent on Gd2O3/GaAs interfacial property. In phase formation of PZT, thermal behavior of Gd2O3/GaAs interface was investigated and its limiting condition was discussed..
HP-1-19 The Evaluation of Ultra-Low k Porous Silica as Intermetal Dielectric Application
T.C. Chang (National Sun Yat-Sen University, Taiwan, ROC); K.C. Hsu (National Chiao Tung University, Taiwan, ROC); P.T. Liu (National Nano Device Laboratory, Taiwan, ROC); L.M. Chen (Industrial Technology Research Institute, Taiwan, ROC.); C.J. Wang (Industrial Technology Research Institute, Taiwan, ROC); T.Y. Tseng (National Chiao Tung University, Taiwan, ROC)
As device feature size continues to scale down into submicron era, the performances of devices are not as well as we excepted. The RC time delay of multilevel interconnects becomes gradually dominate the speed of devices. Power dissipation and noise crosstalk also affect the characteristics of devices. Using the low dielectric (low-k) materials, like porous silica film, to substitute for SiO2 film as the intermetal/interlayer dielectric can mitigate these factors. Porous silica is one of spin on materials, and therefore it has good local planarization capabilities and lower process costs. More importantly, the porous silica has the ability to "tune" dielectric constant over a wide range by controlling the porosity of the film. In this work the porous silica material, which is supported by Union Chemical Laboratories, Taiwan, is investigated comprehensively. The composition of the solution is TEOS/ H2O/ NH4OH/ CH3OH/ Additive = 1 : 2.5 : 0.5 : 50 : x molar ratio. About 70% porosity (volume percentage) and ultra-low k ~1.7 can be obtained. In addition, thermal stability of the porous silica film is a critical issue in the IC manufacture. Therefore, we investigate the thermal stability of the porous silica film by changing the curing temperatures and curing times. Material analyses and electrical measurements are used to verify the physical, chemical characteristics and thermal stability of the porous silica film.
HP-1-20 Fabrication and Characterization of Metal-Ferroelectric-Insulator-Semiconductor Field Effect Transistor Using La2Ti2O7 as Ferroelectric Material
W.S. Kim, H.H. Park (Yonsei University, Korea)
Recently, much interest is focused on the ferroelectric non-volatile memory, but there are two different ideas about the structure of that memory. One consist of one FE storage capacitor and one pass-gate transistor, and the other has only one transistor in which the ferroelectric layer embedded, such as a metal-ferroelectric-semiconductor field effect transistor (MFSFET). At the present time, the former is actively investigated, but from a theoretical view, the later is more desirable for non-volatile memory because this type of memory could make possible non-destructive read out (NDRO) and high-density memory. However, conventional MFS structure has many problems such as difficulty of deposition of ferroelectric thin films directly on silicon, increase of trap density, and diffusion of elements into silicon, and so on. The possible solution of these problems is a metal-ferroelectric-insulator-semiconductor (MFIS) structure. In this case(MFIS), it is important for a ferroelectric material to have a low dielectric constant to enable the application of sufficient electric field to a ferroelectric film and high coercive field for large memory window. From the viewpoint above mentioned, the ferroelectric materials with the layered perovskite structure of the general formula A2B2O7 are good candidates.@ In this work, La2Ti2O7 films were prepared by sol-gel method on CeO2/Si (100). The crystalline property of the film as annealing temperature was characterized by X-ray diffraction (XRD). The electrical properties were measured using capacitance-voltage and current-voltage technique and dielectric constant was calculated. And, the relationship between the dielectric constant of ferroelectric material and the operating conditions of field effect transistor was discussed.
HP-1-21 Organic Coatings Obtained in Atmospheric Pressure Dielectric Barrier Glow Discharges
D Vangeneugden, E Dekempeneer, J Meneve (Flemish Institute for Technological Research (VITO), Belgium)
Uniform atmospheric pressure glow discharges (APGD) obtained in a dielectric barrier configuration have been used to grow organic coatings on various substrates including glass, metal and plastics. Coatings were formed by the addition of gaseous precursors such as ethylene, propylene and ethylene oxide to helium or argon sustained glow discharges. Plasma characteristics were monitored by oscilloscopic current measurements and emission spectroscopy. The influence of the inert carrier gas (He or Ar) on the obtained plasma polymers was investigated by means of Fourier transformed infra red spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), electron spin resonance (ESR) and nuclear magnetic resonance (NMR) techniques. Thin film characteristics were evaluated using scanning electron microscopy (SEM), UV-Vis spectroscopy, and contact angle measurements. Although physical properties of coatings obtained in He and Ar are often clearly different, it is not always easy to correlate these differences to variations in chemical structure.
Time Period WeP Sessions | Topic H Sessions | Time Periods | Topics | ICMCTF2001 Schedule