AVS2018 Session PS+EM+TF-ThA: Atomic Layer Processing: Integration of ALD and ALE

Thursday, October 25, 2018 2:20 PM in Room 104C

Thursday Afternoon

Session Abstract Book
(307KB, May 6, 2020)
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule

Start Invited? Item
2:20 PM PS+EM+TF-ThA-1 Atomic-Layer Etching (ALE) of Nickel or Nickel Oxide Films by Hexafluoroacetylacetone (HFAC) Molecules
Abdulrahman Basher, Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi (Osaka University, Japan); Masato Kiuchi (National Institute of Advanced Industrial Science and Technology (AIST), Japan); Takae Takeuchi (Nara Women's University, Japan); Satoshi Hamaguchi (Osaka University, Japan)

Atomic layer etching (ALE) is one of the most promising technologies and a prospective solution to various technical problems in nanometer-scale device fabrication processes. ALE consists of a series of processing cycles and, in each cycle, a transition step to form a reactive thin layer on the material surface is followed by a removal step to take off only this modified layer. For a metallic film, the formation of volatile organic metal complexes may be used to establish low-damage ALE processes. In this study, to explore the possibility of establishing new etching processes for the manufacturing of magnetoresistive random access memories (MRAMs), we examine possible etching reactions of magnetic materials with organic molecules. In this study, we select Ni as a sample of ferromagnetic materials. An earlier studies [1,2] indicated that hexafluoroacetylacetone (hfac) may be used for etching of Ni due to the possible formation of nickel(II) hexafluoroacetylacetonate Ni(hfac)2 . According to [2], if a Ni surface is oxidized and then exposed to gas-phase hfac, Ni(hfac)2 are formed when the substrate temperature is increased. It is pointed out that, without the oxidation process, Ni(hfac)2 is not formed under similar conditions. Therefore, the expected single cycle of Ni ALE by gas-phase hfac is as follows; a Ni surface is first exposed to oxygen and then to hfac. This step is then followed by the increase of substrate temperature. In this study, however, the goal of this study is to understand the interaction mechanisms between hfac and a Ni or NiO surface and we use the first principles calculation to examine the interaction of a hfac molecule with a Ni or NiO surface atoms. The simulation results based on Gaussian 09 that we have obtained so far suggest that the transfer of a proton from an enol hfac molecule to the Ni or NiO surface generates its deprotonated anion, whose oxygen atoms strongly interact with a positively charged Ni atom on the surface and thus form a precursor of a highly volatile metal complex. Difference in charge distribution between Ni and NiO surfaces affects the likelihood of the formation of such precursors. Surface roughness may also affect such surface reactions.

--------------------------------------------------------

[1] Chen J. K., Altieri N. D., Kim T., Chen E., Lill T., Shen M., and Chang J. P., " Direction etch of magnetic and noble metals. II. Organic chemical vapor etch," J. Vacuum Sci. & Tech. A35, 05C305 (2017).

[2] Nigg H. L. and Masel R. I., " Surface reaction pathways of 1,1,1,5,5,5-hexafluoro-2,4-pentandione on clean and pre-oxidized Ni(110) surface," J. Vacuum Sci. & Tech. A17, 3477 (1999)

2:40 PM PS+EM+TF-ThA-2 Thermal Atomic Layer Etching of HfO2 Using HF for Fluorination and TiCl4 for Ligand-Exchange
Younghee Lee, Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) can be accomplished using sequential fluorination and ligand-exchange reactions. HF has been a typical fluorination reactant. Various metal precursor s have been used for ligand-exchange such as Sn(acac)2, Al(CH3)3 and AlCl(CH3)2 and SiCl4. This study explored TiCl4 as a new metal chloride precursor for ligand-exchange. Thermal HfO2 ALE using TiCl4 and HF as the reactants was studied using in situ quartz crystal microbalance (QCM) measurements from 200 - 300 °C. The HfO2 films were etched linearly versus number of TiCl4 and HF reaction cycles. The sequential TiCl4 and HF reactions were also self-limiting versus reactant exposure. The QCM studies observed a mass change per cycle (MCPC) of -10.2 ng/(cm2 cycle) at 200 °C and -56.4 ng/(cm2 cycle) at 300 °C. These MCPCs correspond to HfO2 etch rates of 0.11 Å/cycle at 200 °C and 0.59 Å/cycle at 300 °C. To explore the selectivity of thermal ALE using TiCl4 and HF as the reactants, spectroscopic ellipsometry (SE) measurements were also employed to survey the etching of various materials. The SE results revealed that HfO2 and ZrO2 were etched by TiCl4 and HF. In contrast, Al2O3, SiO2, Si3N4, and TiN were not etched by TiCl4 and HF. QCM studies also revealed that TiCl4 and HF were able to etch Ga2O3. The etching selectivity can be explained by the reaction thermochemistry and the stability and volatility of the possible etch products. Al2O3 can also serve as an etch stop for HfO2 ALE.

3:00 PM PS+EM+TF-ThA-3 Rapid thermal-cyclic Atomic Layer Etching of SiO2 Using Infrared Annealing
Nobuya Miyoshi (Hitachi High-Technologies, Japan); Hiroyuki Kobayashi, Kazunori Shinoda, Masaru Kurihara (Hitachi, Japan); Kohei Kawamura, Kazumasa Ookuma, Yutaka Kouzuma, Masaru Izawa (Hitachi High-Technologies, Japan)

Device structures are changing from planar-types to three-dimensional types, such as fin-type field-effect transistors and gate-all-around transistors, in order to reduce leakage current and power consumption. Furthermore, fabricating these devices requires both high selectivity and precise control of device dimensions below the 10 nm scale. Atomic layer etching (ALE), which involves the layer-by-layer removal of thin films, is expected to meet these requirements. In a conventional ALE process for SiO2[1], HF and NH3 molecules are exposed to the surface simultaneously. In this case, the etching amount per cycle is proportional to square root of the exposure time of HF and NH3, indicating that the process is quasi-self-limiting (not self-limiting).

We have been investigating a thermal ALE of SiO2 in which ammonium fluorosilicate-based surface modified layer is formed after exposure to HF and NH3 gas, and removed by using infrared annealing. Etching depth of blanket SiO2 samples were measured by using in-situ ellipsometry. We found that self-limiting formation of the modified layer was achieved with separate exposure of HF and NH3 gas. In addition, we successfully demonstrated that this etching process was highly selective with respective to poly-Si and SiN. Comparison between experimental results and Langmuir adsorption model for dependence of etching rate on partial pressure of HF and NH3 clarified that the SiO2 surface during the gas exposure is in adsorption-desorption equilibrium.

In addition to HF/NH3 gas chemistry, we developed an ALE process utilizing plasma to generate HF molecule in stead of using HF gas. This process consists of plasma discharge for HF exposure, evacuation of the residual gas, NH3 exposure, and infrared annealing. In the plasma discharge, fluorine based gases, such as CF4, NF3, and SF6 were diluted by H2 gas. The etching rate of SiO2 saturated at 2.8 nm/cycle in regard to the discharge time, which indicates self-limiting behavior of the modification step. In contrast, the etching rates of poly-Si and SiN were below the detection limit of in-situ ellipsometry, demonstrating that this etching process for SiO2 is highly selective to poly-Si and SiN.

[1] H. Nishio, et al., J. Appl. Phys. 74, 1345 (1993).
3:20 PM PS+EM+TF-ThA-4 The Smoothing Effect in Atomic Layer Etching (ALE)
Keren Kanarik, Samantha Tan, Wenbing Yang, Ivan Berry, Thorsten Lill, Yang Pan, Richard Gottscho (Lam Research Corporation)

Since the 1970s, the semiconductor industry has fabricated electronic circuits using a pattern-transfer approach that is remarkably reminiscent of the etching artform used centuries ago. Only, now, the patterns are a million times smaller, and require etching to within a few atoms on features less than 40 atoms wide. The most advanced etching technique in production today is called atomic layer etching (ALE). To the extent that an ALE process behaves ideally – with high ALE synergy and self-limiting behavior – the primary benefit is improved uniformity across all length scales: at the surface, between different aspect ratios, and across the full wafer. The focus here will be on the atomic-scale topography of the surface left behind after etching.

The purpose in this presentation is to introduce a new ALE benefit – the smoothing effect. By this, we mean that, beyond maintaining surface topography, ALE can improve the surface smoothness (ref 1). We will show that the effect in directional ALE is pervasive across different material systems, including Si, C, Ta, and Ru. We will propose explanations for the ALE smoothing phenomenon, and identify possible applications for this effect both inside and outside the semiconductor industry.

Ref 1: Kanarik, Tan, and Gottscho. J. Phys. Chem. Rev. submitted April 1, 2018.

3:40 PM BREAK
4:00 PM PS+EM+TF-ThA-6 Prospects for Combining ALD and ALE in a Single Chamber
Mike Cooke (Oxford Instruments, UK)

There are obvious similarities between the process cycles of atomic layer deposition (ALD) and atomic layer etching (ALE), so could one machine do both? It is already the case that plasma enhanced chemical vapour deposition (PECVD) tools also perform etching, for the purpose of chamber cleaning. Deposition can also be performed in a tool configured for plasma etching, whether accidentally by creating a plasma with net deposition of fluorocarbon polymer, or by deliberately introducing gases such as silane. However, the wafer is usually heated above ambient in the range 100C – 400C for deposition, in both parallel plate PECVD and when using a high density plasma, while the wafer is typically cooled for etching.

There are also clear overlaps between the hardware requirements for atomic layer deposition and thermal atomic layer etching. The overlaps are more restricted for plasma enhanced ALD and ALE, because both the wafer temperature range and the character of the plasma can differ. In ALD there are benefits from using a remote plasma, because the plasma primarily creates a flux of radicals, while in ALE the plasma delivers both radicals and an ion bombardment flux. However, there is potential for combining plasma ALD and ALE in a chamber with an RF biased table. Thermal ALE and plasma ALE can be combined if the wafer table has a wide temperature range, and the tool can deliver doses of low vapour pressure ALE precursors.

The motivation for combining processes is less clear outside the academic community. Researchers wanting to access both precision etching and deposition under tight constraints of space and budget may be attracted to it, but the combination of halogen chemistry for ALE and organometallic precursors for ALD may make it difficult to change quickly between etching and deposition.

Results from ALE and ALD are presented to explore the overlap, including recent work on ALE and ALD for III-V power devices.

4:40 PM PS+EM+TF-ThA-8 Low Temperature Surface Preparation of GaN Substrates for Plasma Assisted-Atomic Layer Epitaxial Growth
Samantha G. Rosenberg (U.S. Naval Research Laboratory); Daniel J. Pennachio (University of California, Santa Barbara); Max Munger (SUNY Brockport); Christa Wagenbach (Boston University); Virginia R. Anderson (U.S. Naval Research Laboratory); Scooter Johnson (U. S. Naval Research Laboratory); Neeraj Nepal, Alexander C. Kozen, Jeffrey Woodward (U.S. Naval Research Laboratory); Zachary Robinson (SUNY Brockport); KarlF. Ludwig (Boston University); Christopher Palmstrøm (University of California, Santa Barbara); Charles R. Eddy, Jr. (U. S. Naval Research Laboratory)

We have previously shown that using our low temperature plasma-assisted atomic layer epitaxy (ALEp) method we can grow AlN and InN for various applications.1-3 The materials we have grown using our ALEp method have shown good crystalline quality, but suffer from an incorporation of carbon. Theory has led us to believe that the substrate preparation plays a significant role in the remediation of carbon, as that surface becomes the interface for the growth of the III-N film. Therefore, using surface science techniques, we strive to develop not only a fundamental understanding of the ALEp growth process but also atomic layer processes that will result in the best preparation method for a pristine GaN starting surface for ALEp.

In-situ surface studies of in-situ and ex-situ GaN substrate preparation and InN ALEp growth were conducted to advance fundamental understanding of the ALEp process. We conduct in-situ grazing incidence small angle x-ray scattering (GISAXS) experiments at the Cornell High Energy Synchrotron Source to investigate growth surface morphological evolution during sample preparation including a gallium-flash-off atomic layer process (ALP) at varying temperatures and number of cycles. GISAXS information is complemented with in-vacuo x-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and ex-situ atomic force microscopy studies conducted at the Palmstrøm Lab at UCSB, where we consider different ex-situ sample preparation methods to produce the most suitable GaN surface for our ALP/ALEp-based approach. We have determined that a combination of UV/ozone exposure followed by an HF dip produces the cleanest and smoothest GaN surface. We have further determined with GISAXS that ALP-based gallium-flash-off experiments performed at higher temperatures (500°C) produce a smoother starting surface than lower temperatures. Additionally we have determined that we should only perform ~10 cycles of ALP gallium-flash-off instead of 30 as was empirically chosen previously. Due to the sensitivity of the GISAXS experiment, we are able to observe the effect of individual components of the ALP process cycle (pulse vs purge), leading us to insights on the underlying chemical process of the gallium-flash-off ALP. Combining these two results, ex-situ and in-situ cleaning preparation, should lead us to the best GaN starting surface to grow high quality crystalline InN films.

[1] N. Nepal, et al., Appl. Phys. Lett. 103, 082110 (2013)

[2] C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), 058501 (2013)

[3] R. S. Pengelly, et al., IEEE Trans. Microwave Theory Tech. 60, 1764 (2012)

5:00 PM PS+EM+TF-ThA-9 Chemical Interactions with Alkali Compounds for Controlling the Transition between Thermal HF-based Atomic Layer Etching and Deposition
John Hennessy (Jet Propulsion Laboratory, California Institute of Technology)

The use of anhydrous hydrogen fluoride (HF) as a precursor can result in a variety of atomic layer deposition (ALD) processes for thin films like MgF2, AlF3 and LiF, with good optical properties in the deep ultraviolet. Cyclic exposure to HF and several organoaluminum compounds including trimethylaluminum (TMA), can also result in the thermal atomic layer etching (ALE) of some oxide films like Al2O3. In this work we show that the introduction of alkali halide compounds (such as LiF or KBr) into the reactor during this cyclic exposure can dramatically alter the deposition and etch conditions at a given substrate temperature. This occurs via the formation of an intermediate complex between the metalorganic precursor and the alkali compounds, that then enhances the removal of the surface fluoride created during the preceding HF exposure. Although the etch rate can be enhanced via this interaction at a given substrate temperature, the process remains self-limiting overall.

This approach provides a pathway to the low temperature (~100 °C) thermal ALE of Al2O3. This can be useful for substrate-sensitive applications where exposure to high temperature or energetic plasmas is undesirable. The interaction of TMA and the alkali halide also results in approaches for the spatially-selective deposition of AlF3, or the spatially-selective etching of Al2O3.

We have utilized this combination of ALE and ALD to gently remove the native oxide from metallic aluminum and replace it with AlF3 for a variety of optics and sensing applications at JPL. This can be performed by variation of the substrate temperature to switch continuously from ALE-mode to ALD-mode, or by variation of the aluminum precursor to tune the chemical interactivity with the alkali compounds. Fabricated devices made with this concept are relevant for a variety of NASA astrophysics and planetary science applications at ultraviolet wavelengths.

5:20 PM PS+EM+TF-ThA-10 Selective Processing to Enable High Fidelity Control for the 5 nm Node
Benjamen Rathsack (Tokyo Electron America, Inc.); Alok Ranjan (TEL Technology Center, America, LLC.); Peter Ventzek (Tokyo Electron America, Inc.); Hiromasa Mochiki (Tokyo Electron Miyagi, Ltd., Japan); Julie Bannister (Tokyo Electron America, Inc.)
Selective processing through the integration of Etch and ALD is critical to enable high fidelity control for 5 nm node structures. The complexity of multi-step integrations and processes has caused edge placement error (EPE) to become a critical challenge. The enablement of further scaling requires the utilization of self-aligned processing to address overlay variation as well as highly selective processing to address localized fidelity control. Fidelity control has become complex on multi-step processes integrated for SAQP, self-aligned block (multi-color) and high-aspect ratio structures. Localized fidelity control is highly dependent on both the material stacks and selective processing capabilities. This includes stringent selectivity, profile, loading and uniformity requirements. To meet these requirements, the fusion of Etch and ALD enables atomic level precision with minimal impact from CD loading effects. The fusion of Etch and ALD processing also improves across wafer CD control and LWR. The use of selective processing is demonstrated to be a key enabler of 5 nm node fidelity control.

Session Abstract Book
(307KB, May 6, 2020)
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule