AVS2018 Session PS+TF-MoM: Plasma Deposition and Plasma-Enhanced ALD

Monday, October 22, 2018 8:20 AM in Room 104C

Monday Morning

Session Abstract Book
(312KB, May 6, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule

Start Invited? Item
8:20 AM PS+TF-MoM-1 ZrO2 Deposition using a 2.45 GHz Atmospheric Pressure Plasma Torch
Dhruval Patel, Lucia Bonova, Chisung Ahn, Daniel Krogstad, David Ruzic (University of Illinois at Urbana-Champaign); Santanu Chaudhuri (University of Illinois at Chicago)

Partially stabilized zirconia is widely used in thermal barrier coatings that requires a thermodynamically stable and highly durable interface with a natively grown aluminum oxide (Al2O3) scale. The potential of ZrO­2 to serve as an effective Thermal barrier coating (TBC) has already been demonstrated along with modest corrosion resistance. The ECAP experiment (Evaporative Coatings at Atmosphere Pressure) was developed at CPMI (Center for Plasma Materials Interaction at UIUC) as a device for surface treatment at atmosphere pressure utilizing a 2.45 GHz microwave plasma torch. Recent modifications to this system allows for delivery of precursors and target materials through the torch that gives it the capability to deposit thin films. The goal of this study is to compare the quality of the ZrO­2 films grown using each of these methods. Various methods will be used to characterize the quality of films grown including Nanoindentation, XPS, XRD and Profilometry.

8:40 AM PS+TF-MoM-2 Ion Energy Characteristics during Plasma-Enhanced Atomic Layer Deposition and their Role in Tailoring Material Properties
Tahsin Faraz, Karsten Arts, Saurabh Karwal, Mariadriana Creatore (Eindhoven University of Technology, The Netherlands); Harm Knoops (Oxford Instruments, The Netherlands); Erwin Kessels (Eindhoven University of Technology, The Netherlands)

As we enter an era of atomic scale device dimensions, there is a significant demand for synthesizing ultrathin films with precise growth control. Plasma-enhanced atomic layer deposition (PEALD) has obtained a prominent position in obtaining films with atomic scale precision. Although the effects of ion-surface interactions have been investigated for conventional plasma-enhanced chemical and physical vapor deposition in great detail, very little is known about the role of ions during PEALD. In this work, we demonstrate how the properties of materials (oxides and nitrides of Ti, Hf and Si) grown using PEALD (on planar and 3D substrates) can be tailored by controlling the kinetic energy of ions, Ei, impinging on a growing film surface with RF substrate biasing.1 This technique harnesses the well-known synergism of ion-radical processes under energetic ion bombardment during reactive plasma exposure. It was demonstrated to significantly enhance the versatility of PEALD processes by providing two knobs (magnitude and duration of bias) enabling control over materials with dielectric or conductive properties, relevant for a wide variety of applications.

We measured Ei characteristics of reactive plasmas typically used for PEALD (O2, H2, N2) to investigate their role in tailoring material properties. Performing such measurements is essential towards understanding how a given PEALD process at different operating conditions can be influenced by energetic ions. Ion energy distributions (IEDs) were measured in a commercial 200-mm remote inductively-coupled-plasma (ICP) ALD system equipped with RF substrate biasing. IEDs were obtained using a gridded retarding field energy analyzer (RFEA) for the aforementioned plasmas without and with RF biasing. The properties of materials grown using these plasmas in this ALD system were analyzed as a function of the parameters derived from IEDs. These results have provided more insight on the relation between ion characteristics and the ensuing properties, e.g., identifying Ei thresholds between property improvement and degradation. They demonstrate how the measurement and control of Ei characteristics during PEALD provides a platform for synthesizing ultrathin films with desired properties.

Furthermore, we analyzed the effects of controlling Ei during PEALD on 3D substrates frequently employed in state-of-the-art devices. It yielded an intriguing effect of inducing differing material properties at different surfaces of 3D trench nanostructures. This demonstrated the potential of this technique in enabling new routes for achieving topographically selective deposition.

1Faraz et al. ACS Appl. Mater. Interfaces 10, 13158 (2018) View Supplemental Document (pdf)
9:00 AM PS+TF-MoM-3 Plasma Deposition of Functional, Nanostructured Coatings on Materials and Nanomaterials Derived from the Wood Biomass
Luc Stafford (Université de Montréal, Canada)
Wood components have been used as a building material for centuries. In light of the growing concern over the environmental impact of human industrial activity, wood has taken on a new importance worldwide. The main advantages of this widely-distributed and renewable resource lie in its versatility, strength-to-weight characteristics, ease of processing, aesthetics, and its sustainability as a green-material. Its bio-polymeric structure, however, renders it susceptible to degradation due to moisture, microorganisms, insects, fire, and ultraviolet radiation. In this context, important research efforts have been devoted to the further development of existing wood protection systems either through the application of paints, varnishes, stains, and water repellents or through direct modification by thermal, chemical, and impregnation methods. In recent years, we have shown that non-thermal plasmas represent a very promising approach for tailoring the surface properties of wood-based materials for both improvement of existing protection systems or as standalone treatment for the growth of functional coatings. In this presentation, the scientific and technological accomplishments associated with the use of plane-to-plane dielectric barrier discharges at atmospheric pressure for plasma-enhanced chemical vapor deposition (PECVD) of various barrier coatings on wood surfaces are reviewed. These aspects cover the effects of wood conditions and properties, such as wood inhomogeneities and wood outgassing, on both the plasma characteristics and the plasma deposition dynamics of SiOCH barrier layers using organosilicon precursors. This description is extended to more complex systems such as the plasma-assisted growth of nanocomposite coatings (for example TiO2 or ZnO nanoparticles embedded into a SiOCH matrix) using colloidal solutions as the growth precursor for PECVD. For such applications, a combined low-frequency-high-frequency voltage waveform is used to achieve significant and spatially uniform deposition of nanoparticles across the whole substrate surface. Finally, very recent studies on the plasma-assisted functionalization of highly porous microfibrillated cellulose materials derived from the wood biomass are presented.
9:40 AM PS+TF-MoM-5 Mechanisms of Halogenated Silane Decomposition on an N-rich Surface during Atomic Layer Deposition of Silicon Nitride
Gregory Hartmann (University of Texas at Austin); Peter Ventzek (Tokyo Electron America, Inc.); Kiyotaka Ishibashi, Toshihiko Iwao (Tokyo Electron Technology Solutions Ltd., Japan); Gyeong Hwang (University of Texas at Austin)
Atomic layer deposition (ALD) has recently received increasing attention for the growth of high-conformity silicon nitride (SiN) thin films for use in microelectronics, particularly as charge storage layers in vertical-NAND. Plasma enhanced ALD (PEALD) allows SiN deposition at substantially lower temperatures (< 400 °C) with better film properties, compared to thermal ALD. The challenge of PEALD is that Si deposition must occur via a thermal mechanism due to the low temperatures. The PEALD of SiN films involves a two-step cycle: (1) adsorption and decomposition of silicon-containing precursors and ii) nitridation of the Si-rich surface by active N species emanating from the plasma. Halogenated silanes such as hexachlorodisilane, bis(tertiary-butyl-amino)- silane, and dicholorosilane (DCS, SiH2Cl2) have been utilized as Si precursors. Despite efforts directed towards process development, the underlying reaction mechanisms of Si deposition remain uncertain. Methods to improve growth rate and uniformity have been demonstrated experimentally, but without knowledge of the reaction mechanisms, direct contributions of specific process conditions cannot be explained. Using first-principles density functional theory (DFT) calculations, we have examined and identified a novel mechanism for the adsorption and decomposition of DCS on a N-rich SiN surface. Our study predicts that the DCS adsorption and dissociation can occur by overcoming a moderate barrier (» 0.3 eV), lower than the prohibitively large barriers predicted for previously proposed mechanisms. Through a detailed electronic structure analysis of the reaction intermediates, we have also elucidated the principles underlying the reaction mechanism, notably the hypercoordination of Si which permits the facile reaction of molecularly adsorbed DCS with primary and secondary amines on the surface, followed by dissociation releasing protons and Cl anions with subsequent HCl formation. We have examined the same mechanism utilizing alternative precursors and the predicted trends are found to be corroborated with the important properties of the system. Understanding these principles allows us to develop guidelines for processing conditions, such as the importance of maintaining the proper surface composition to facilitate Si precursor adsorption and dissociation. Our study provides insight into the SiN ALD process via chlorosilanes and guidelines to control the deposition for high-quality SiN films and provides a framework for future theoretical studies of surface reactions during ALD.
10:00 AM PS+TF-MoM-6 Characterization of Inductively Coupled Plasma Source for Plasma Enhanced Atomic Layer Deposition
Premkumar Panneerchelvam, Ankur Agarwal (KLA-Tencor); David Boris, Scott Walton (Naval Research Laboratory)
Plasma enhanced atomic layer deposition (PEALD) is a technique which provides an efficient alternative to thermal ALD systems by enabling low-temperature wafer processing using energetic and reactive plasma species. Utilization of plasma sources to drive atomic layer deposition stems from the ability to generate active radicals which are more reactive than molecular precursors used in thermal ALD processes. Aside from the fact that plasma processing systems are already utilized in semiconductor manufacturing, PEALD affords significant advantages over thermal ALD processing such as lower temperature processing coupled with active tuning of film properties, wafer level uniformity control, wider variety of film growth, and conformality. Remote inductively coupled plasma (ICP) sources are a common choice for PEALD as they enable high density discharges which efficiently generate reactive neutral species. Characterization of these plasma sources is important in understanding the properties of the species incident on the wafer to not only tailor the chamber architecture but also understand the role of different radicals in the plasma in the surface mechanism.

In this work, we will discuss characterization of a reactor that imitates an industrial PEALD tool using experimental and computational investigations. The system is flowing afterglow geometry, where a barrel-type ICP source is mounted on one side of the reactor and produces a plasma that expands into a chamber with access ports to diagnose the plasma properties using optical emission spectroscopy and charged particle flux probes. The computational model is based on a multi-species, two-temperature fluid description of plasma with finite rate chemistry. Results will be discussed in Ar and Ar/N2 plasmas over varying pressure and inductive power with particular emphasis on the impact of N2 addition on plasma properties and the chemical composition of radicals incident on the wafer.

*This work was partially supported by the Naval Research Laboratory base program.

10:20 AM BREAK
10:40 AM PS+TF-MoM-8 Structural, Optical, and Electrical Properties of Plasma-Enhanced Atomic Layer Deposited ZnO: Influence of Substrate Temperature
Julian Pilz, Alberto Perrotta, AnnaMaria Coclite (Graz University of Technology, Austria)

ZnO is a direct band gap semiconductor with attractive piezoelectrical, optical, and electrical properties, particularly appealing for a variety of functional devices (e.g., gas, bio, and UV sensors, piezoelectric nanogenerators and actuators). Being able to tailor these material properties to meet specific technological requirements is crucial for enhancing the device efficiency or sensitivity.

In a previous study [1], the effect of plasma power on plasma-enhanced atomic layer deposited (PE-ALD) ZnO had been investigated at room temperature. By tuning the power, properties such as the refractive index, bandgap, and crystallite size had been tailored. However, the power had shown little influence on the texture of the crystalline films.

In this study, we investigated the effect of substrate temperature in the range 25 °C – 250 °C on the ZnO material properties. The films were grown by direct PE-ALD adapting diethylzinc and O2-plasma as reactants. By adjusting the substrate temperature, it was possible to switch the texture of the films from (100) at room temperature to (002) above 150 °C. The growth per cycle (obtained by spectroscopic ellipsometry, SE) ranged from 1.6 to 4.0 Å/cycle, suggesting a temperature dependent growth behavior. Further SE analysis showed a range of refractive index (at 633 nm) from 1.87 to 1.94 and a bandgap range of 3.255 to 3.270 eV. X-ray photoelectron spectroscopy survey scans pointed out a non-detectable carbon content and a O/Zn ratio of around 1.17 (constant over the whole temperature range), while high-resolution scans of the O1s-peak showed a decrease in OH-content from 21 % (at 25 °C) to 9 % (at 250 °C). Further analysis will focus on resistivity (and its relation to OH-content), transparency, and piezoresponse.

Correlating the results of these techniques, interesting insights can be gained into the quality of the PE-ALD ZnO and which substrate temperature should be chosen for specific applications. This paves the way for optical, electrical, or sensing devices on the basis of tailored ZnO thin films, which can be grown conformally and with Å-level thickness control due to the PE-ALD characteristics.

[1] Pilz et al. "Tuning of material properties of ZnO thin films grown by plasma-enhanced atomic layer deposition at room temperature." J. Vac. Sci. Technol. A 36.1 (2018): 01A109.

11:00 AM PS+TF-MoM-9 Critical Effect of the Presence and Position of Double Bonds in the Atmospheric Plasma Synthesis of Organic Coatings
Jérémy Mertens, Joffrey Baneton, Alp Ozkan, Francois Reniers (Université Libre de Bruxelles, Belgium)

Last decades, atmospheric plasma systems have become a powerful tool for the synthesis of organic/inorganic coatings. Even though the well-known Yasuda parameter1 is a good indicator of the fragmentation process of the injected monomers during the low-pressure synthesis, it faces severe limitations at ambient conditions. This can essentially be related to the wider range of collisions and the lower mean free path of the plasma species at atmospheric pressure. Also, the key role of the chemical structure of the injected monomer such as the presence of double bonds on the synthesized coating properties has not been included in the Yasuda model but strongly influences the plasma polymerization/fragmentation mechanisms.2

It is well known, not only in plasma science, that the presence of double bonds increases the deposition rate which has been confirmed in this research. Yet, our group previously demonstrated that unsaturations could also play a protective role of an ester function during the atmospheric plasma polymerization process3 but no explanationwas proposed so far. Using various saturated and unsaturated precursors, this study proposes a novel point of view of the essential role of the presence of sp2 carbon atoms, their spacing with a function of interest and the planar geometry of the monomer in the polymerization mechanisms in dielectric barrier systems. Therefore, the plasma phase and physico-chemical properties of the synthesized coatings analysis are correlated. The influence of the addition of each molecule on the electrical behavior of the discharge is monitored by oscilloscope measurements. The fragmentation of the precursor in the plasma, evidenced by in situ mass spectrometry and optical emission spectroscopy, show that the polymerization mechanisms are different when the precursor contains double bonds. The suggested synthesis pathways for each precursor are correlated to the surface and bulk chemical structure of the synthesized films, determined by X-Ray photoelectron spectroscopy and infrared reflectance absorbance spectroscopy. It is evidenced that the distance separating the function of interest from the double bond is a major parameter to take in account for the conservation of the structure of the injected molecule. We suggest that it is possibly due to a planar geometry arrangement and to a resonance phenomenon for specific double bonds positions.

The authors would like to thank the Walloon Region for their financial support through the FLYCOAT project (n°131847).

1 H.K.Yasuda & al. , J. Polym. Sci., 16, 743

2 J.Hubert & al., Plasma Process. Polym. 2015, 12, 1174

3 A.Batan & al., Plasma Process. Polym. 2013, 10, 857

11:20 AM PS+TF-MoM-10 Capacitively Coupled DC/RF Discharges for PEALD Process of Titanium Dioxide Films
Shinya Iwashita, Ayuta Suzuki, Takahiro Shindo, Takamichi Kikuchi, Tatsuo Matsudo, Yasushi Morita, Tsuyoshi Moriya (Tokyo Electron Technology Solutions Ltd., Japan); Akira Uedono (University of Tsukuba, Japan)

We are working on the development of a discharge source using tailored waveforms for plasma enhanced atomic layer deposition (PEALD) processes. The discharge sources employed in this study are 13.56 MHz RF, pulsed DC or their combination [1], and their applicability to PEALD process of titanium dioxide (TiO2) thin films has been evaluated. A titanium precursor adsorbed on a silicon substrate having amorphous carbon (a-C) patterns is oxidized by applying these discharges in argon/oxygen mixtures. Thus PEALD process of TiO2 films is achieved accordingly. The experimental results obtained from scanning transmission electron microscope show that TiO2 films are deposited with excellent step coverage independent of the discharge sources. However, the film characteristics at each deposition position of a-C patterns (i.e. at the top, side and bottom) greatly vary depending on the discharge sources, which has been confirmed by the evaluation of the wet etching rate using a diluted hydrogen fluoride solution. The clear variation of the film characteristics is presumably attributed to the change in the number density of reactive species (electrons, ions, radicals), their ratio and the ion angle distributions, all of which are determined by the discharge sources.

[1] Denpoh K and Ventzek P, J. Vac. Sci. Technol. A 26 (2008) 1415, Kawamura E et al J. Vac. Sci. Technol. A 25 (2007) 1456.
11:40 AM PS+TF-MoM-11 The effects of Varying Plasma Conditions on Plasma Enhanced Atomic Layer Epitaxy
David Boris, Virginia Wheeler (U.S. Naval Research Laboratory); Virginia Anderson (Kennesaw State University); Neeraj Nepal (U.S. Naval Research Laboratory); Samantha G. Rosenberg, Alexander Kozen (ASEE Postdoctoral Fellow); Scott Walton, Charles Eddy (U.S. Naval Research Laboratory)

Plasma enhanced atomic layer epitaxy (PEALE) is a method for growing very thin crystalline films at low temperature in a conformal layer-by-layer manner that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas phase chemistry to produce varying film characteristics. The flexibility and lower growth temperatures that plasmas provide come at the cost of a complex array of process variables that often require great care on the part of the user.

In response to this challenge, this work focuses on the use of plasma diagnostics to inform the choice of process conditions for PEALE systems. In this work we employ VUV-NIR spectroscopy and charged particle collectors to characterize the inductively coupled plasma source of a Fiji 200 (Ultratech/CNT) ALD tool. In particular, we assess the total ion flux reaching the substrate surface and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions in context of PEALE of AlN, InN, TiO2 and Ga2O3 films. Changes in plasma parameters are then linked with changes in film characteristics.

________________________________

* This work supported by the Naval Research Laboratory Base Program

Session Abstract Book
(312KB, May 6, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2018 Schedule