AVS2018 Session TF+AM+EM+PS-TuM: Atomic Layer Processing: Area Selective Deposition

Tuesday, October 23, 2018 8:00 AM in Room 104B

Tuesday Morning

Session Abstract Book
(299KB, May 6, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2018 Schedule

Start Invited? Item
8:00 AM TF+AM+EM+PS-TuM-1 New Approaches for Area-Selective Atomic Layer Deposition: Inspiration from Etching
Adrie Mackus (Eindhoven University of Technology, The Netherlands, Nederland)

While selectivity has always been one of the most important features of an etching process, only in recent years there has been significant interest in selectivity for deposition, motivated by the application of area-selective deposition in self-aligned fabrication. With selective etching being a more mature technology, previous work in etching can serve as inspiration for how to make deposition processes selective. For example, inhibition layers have been employed in etching to obtain selectivity. Similarly, the use of small molecule inhibitors is currently being explored for achieving area-selective atomic layer deposition (ALD).1

Moreover, with the recent advancements in atomic layer etching (ALE), new opportunities emerge for selective processing of material based on novel combinations of ALD and ALE. In recent work, ALE cycles have been implemented in area-selective ALD processes to improve the selectivity.2

In this presentation, the similarities between etching and area-selective ALD will be described, in order to discuss what the area-selective ALD community can learn from previous work in etching. Recent developments in area-selective ALD will be illustrated by discussing new area-selective ALD approaches based on the use of inhibitors in ABC-type (i.e. three-step) ALD cycles, and on supercycles combining ALD and ALE cycles.

1. A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, and A.J.M. Mackus, ACS Nano 11, 9303 (2017)

2. R. Vallat, R. Gassiloud, B. Eychenne, and C. Vallée, J. Vac. Sci. Technol. A.35, O1B104 (2017)

8:40 AM TF+AM+EM+PS-TuM-3 Nucleation of HfO2 on Si, SiO2 and TiN Substrates in PE-ALD Processes Investigated by In situ Ellipsometry and Optical Emission Specroscopy (OES)
Marceline Bonvalot, Samia belahcen, Vincent Pesce, Ahmad Chaker, Patrice Gonon, Christophe Vallée, Ahmad Bsiesy (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

It is generally admitted that the physical properties of ultrathin layers elaborated by ALD processes are strongly dependent upon the very initial stages of layer growth, defined as the nucleation step. This nucleation step may for instance affect the cristallinity and the texturation of the material when growing in a crystalline state, it can also significantly contribute to the sharpness of the interface between the substrate and the growing material and/or determine the layer density and surface rugosity. Moreover, this nucleation step also plays a major role in the advancement of Selective Area Deposition Processes (SALD), which are considered, as of today, as a very promising approach for the development of bottom-up routes alternative to increasingly expenseive thin layer patterning processes. In these regards, a good knowledge of the phenomena affecting the nucleation mechanisms in ALD is required.

In this work, the initial stages of layer growth has been investigated during the deposition of HfO2 by Plasma-Enhanced ALD in a FlexAL set-up equipped with an ALE (Atomic Layer Etching) bias system developed by Oxford. Four types of substrates have been put on trial for this purpose, namely, HF last treated Si(100), SiO2 (45 nm dry oxide)/Si, SiO2 (500 nm wet oxide)/Si, and TiN (15 nm ALD)/Si. Several in situ surface treatments have been applied on these substrates, prior to HfO2 ALD cycles with TEMAH as hafnium precursor. They include exposure to a plasma treatment composed of either Ar, CF4 and O2 or any mixture of these gases. A very low power (1-10 W) can be applied on the substrate to allow low DC self-bias voltage, which determines energies of ions extracted from the plasma in the vicinity of the substrate. This bias in turn allows an estimation of the contribution of both chemical and physical plasma-surface interactions to the growth mechanism. The nucleation process is followed in situ by spectroscopic ellipsometry assisted by Optical Emission Spectroscopy for the identification of plasma active species and desorbed by-products.

This presentation will describe how the nucleation time on different substrates can be successfully tuned, either delayed or accelerated, thanks to appropriate in situ surface treatment parameters, without inducing any significant perturbation in the subsequent steady state layer growth. These results will be discussed in view of literature data and potential applications to the development of selective ALD.

9:00 AM TF+AM+EM+PS-TuM-4 Topographical Selectivity with BN Electron-Enhanced ALD
Jaclyn Sprenger, Andrew Cavanagh, Huaxing Sun (University of Colorado at Boulder); Alexana Roshko, Paul Blanchard (National Institute of Standards and Technology); Steven George (University of Colorado at Boulder)

Electron-enhanced atomic layer deposition (EE-ALD) is a new growth technique using sequential self-limiting exposures of electrons and precursor. The electrons produce dangling bonds at the surface through the process of electron stimulated desorption (ESD). The dangling bonds then facilitate the adsorption of precursor resulting in film growth. Because the electron flux is directional, EE-ALD can be used for selective area deposition. For portions of the sample that are masked from the e--beam, no dangling bonds are produced and no film growth occurs. Additionally, any portion of the surface that is parallel to the e--beam, e.g. the walls of a trench, may be considered topographically masked because the e--beam is not incident on the surface.

The topographically selective area deposition by EE-ALD was investigated by depositing boron nitride (BN) EE-ALD films on a trench structure. EE-ALD of BN has been demonstrated using sequential exposures of borazine (B3N3H6) and electrons (50-450 eV) at room temperature [1]. GaN [2] and Si [3] have also been deposited earlier using EE-ALD. The topographical selectivity was investigated by growing an EE-ALD BN film on a trench structure. On the vertical walls of a trench structure, aligned parallel to the e--beam, there should be no electron flux and no film. In contrast, the top and bottom of the trench will receive the full flux of the e--beam. To test these ideas, high resolution TEM images were recorded after 1000 cycles of BN EE-ALD on a trench structure.

BN films were observed on the top and bottom of the trench. For the trenches used in this study, the side walls were not vertical. Some BN film deposition did occur on these sidewalls, but at a lower growth rate than the horizontal surfaces at the top and bottom of the trench. The electron flux on the side walls was reduced by a factor of cos θ where θ is the angle between the surface normal of trench wall and the e--beam. Incorporating the reduced electron flux into the model for EE-ALD film growth showed excellent agreement with film thicknesses observed on the trench walls. The topographic selectivity of EE-ALD, demonstrated with BN, coupled with a metal chemistry, would offer a promising solution to challenges such as the bottom-up-fill of conductors in trenches or vias.

[1] J. K. Sprenger, H. Sun, A. S. Cavanagh, A. Roshko, P. T. Blanchard and S. M. George, J. Phys. Chem. C. DOI: 10.1021/acs.jpcc.8b00796 (2018).

[2] J.K. Sprenger, A.S. Cavanagh, H. Sun, K.J. Wahl, A. Roshko and S.M. George, Chem. Mater. 28, 5282 (2016).

[3] J.K. Sprenger, A.S. Cavanagh, H. Sun, and S.M. George, J. Vac. Sci. Technol. A. 36, 01A118 (2018).

9:20 AM TF+AM+EM+PS-TuM-5 Optimization by In situ Ellipsometry of ALD and ALE Successive Steps for the Selective Atomic Layer Deposition of Ta2O5 on TiN and Si.
Vincent Pesce, Christophe Vallée (LTM, Univ. Grenoble Alpes, CEA-LETI, France); Rémy Gassilloud (Cea Leti, France); Ahmad Chaker, Marceline Bonvalot, Bernard Pelissier (LTM, Univ. Grenoble Alpes, CEA-LETI, France); Posseme Nicolas (Cea, France); Ahmad Bsiesy (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

The drastic reduction of microelectronic device dimensions, traditionally achieved through a photolithography process, requires more and more stringent process conditions in this top-down approach. Recent developments in atomic layer deposition processes (ALD) have shown that a new bottom-up approach is possible by the combination of ALD and Atomic Layer Etching (ALE) steps for the selective growth on patterned surfaces [1]. Indeed, it has been shown that the nucleation kinetics in the initial stages of a deposition process is strongly dependent on the chemical state of substrate surfaces. Thus, different nucleation delays can be achieved on patterned substrates, leading to significant variation of thickness in spite of a similar growth rate after nucleation (in the steady state). Prior to precursor deposition, a first step is carried out, which activates or inhibits nucleation sites on patterned substrates. For instance, an O2/CF4 plasma treatment leads to the formation of a fluorocarbon polymer, whose thickness depends on the chemistry of the surface: its thickness may be less on Si as compared to TiN, because SiF4 molecules are more volatile than their TiF4 counterparts. In turn, this CFx rich layer can serve as a passivation layer to alter the nucleation sites during the subsequent deposition process. Similarly, an oxidation plasma treatment serves to activate nucleation sites through the formation of metal-oxygen bonds on the patterned substrate, which in turn favors an immediate growth during deposition process.

In this work, we have investigated the impact of both chemical and physical surface interactions during the deposition of Ta2O5 in a PE-ALD process. These investigations have been carried out in a FlexAL PEALD tool equipped with an ALE bias system developed by Oxford. A selective deposition super cycle has been defined, which consists in alternating a CF4 plasma treatment with a Ta2O5 ALD deposition cycle with an O2 plasma as oxidation step. In situ ellipsometry measurements have been used to investigate the impact of ion bombardement and the CFx layer growth during the optimization of the ALE parameters.

These measurements have been correlated to ex situ XRR and XPS to confirm the thickness on both Si and TiN substrates and analyze the chemistry of the different layers or interfaces.

[1] R. Vallat et al. "Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35.1 (2017): 01B104. (DOI: 10.1116/1.4965966)

View Supplemental Document (pdf)
9:40 AM TF+AM+EM+PS-TuM-6 ALD and PEALD of ZnO on MoS2 and WSe2
Timothy N. Walter, Sora Lee (The Pennsylvania State Univeristy); Mikhail Chubarov (The Pennsylvania State University); Xiaotian Zhang (The Pennsylvania State Univeristy); Tanushree Choudhury, Joan Redwing (The Pennsylvania State University); Thomas Jackson, Suzanne E. Mohney (The Pennsylvania State Univeristy)

Inducing nucleation on the inherently passivated surfaces of 2D materials such as transition metal dichalcogenides (TMDs) can be challenging for atomic layer deposition (ALD); however, this situation also presents an opportunity for selective growth by ALD. Additionally, ZnO/TMD heterojunctions have favorable band alignments for catalysis and offer possibilities for nanoscale electronic devices including transparent electronics, photodiodes, and piezo-phototronics. This work explores the growth of ZnO on the TMDs MoS2 and WSe2 using thermal ALD, thermal ALD with UV-O3 surface pre-treatment, and plasma enhanced ALD (PEALD). Depositions were performed on both few-layer exfoliated flakes and coalesced single-layer films (with scattered 2- or 3-layer islands) that were already grown by gas source chemical vapor deposition (CVD). Samples were characterized by atomic force microscopy (AFM), Raman spectroscopy, photoluminescence (PL), and X-ray photoelectron spectroscopy (XPS) before and after deposition of ZnO. For both MoS2 and WSe2, thermal ALD of ZnO using diethyl zinc (DEZ) and water at 125 °C resulted in a long nucleation delay on the TMD surfaces, showing selectivity against ZnO growth on TMDs compared to the surrounding SiO2/Si substrate. Even after hundreds of cycles, very little change was detected by XPS, Raman spectroscopy, or AFM; however, nucleation did occur at defects and caused surface roughness to increase. UV-O3 pre-treatment before thermal ALD yielded different results on MoS2 compared to WSe2. UV-O3 functionalizes MoS2 for nucleation and subsequent growth of ZnO without destroying the underlying MoS2; however, UV-O3 fully oxidized regions of the WSe2 surface and promoted nucleation. PEALD using DEZ and N2O on both TMDs resulted in a conformal and smooth film, but it oxidized the top layer of the TMDs according to XPS. In conclusion, UV-O3 pre-treatment and plasma-enhanced deposition allow for nucleation and growth of ZnO on TMD substrates, sometimes to the detriment of the top layer of material; however, the planar surfaces of 2D materials resist nucleation for hundreds of cycles of thermal ALD.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM TF+AM+EM+PS-TuM-10 From Fundamental Insights into Growth and Nucleation Mechanisms to Area-selective Deposition
Annelies Delabie (IMEC & KU Leuven, Belgium); Job Soethoudt (KU Leuven, Belgium); Geoffrey Pourtois, Sven Van Elshocht, Kathy Barla (Imec, Belgium); Fabio Grillo, Esteban Marques, Ruud van Ommen (TU Delft, Netherlands)

Area-selective deposition holds the potential to build structures from the bottom up, only where needed, with atomic precision. It is gaining importance for manufacturing of nano-electronic devices as it enables self-aligned deposition for accurate pattern placement and bottom-up deposition in trenches or holes. It can simplify complex integration flows and implies significant cost reduction. The key to area-selective deposition is surface chemistry, as it is based on the surface dependence of deposition techniques like chemical vapor deposition (CVD) and atomic layer deposition (ALD). Today, however, only few industrial processes make use of area-selective deposition, mainly because only few materials can be deposited with sufficient selectivity. In addition, defectivity is a great challenge, as substrate inhibition is often associated with island growth. Detailed insights into the surface chemistry and nucleation mechanisms is essential, as this insight can be used to expand the material combinations accessible by area-selective deposition, as well as to design defect removal strategies. Finally, area-selective deposition is affected by patterning due to changes in surface composition and/or due to diffusion. This illustrates the need for understanding and optimization of the processes in patterns with relevant dimensions. In this work, we review our current understanding of the inherent surface dependence of ALD processes. We show how insight into the growth and nucleation mechanisms of ALD can be applied to enable area-selective deposition with defect removal solutions.

11:40 AM TF+AM+EM+PS-TuM-12 DETA SAMs as ALD Ru Inhibitor for Area-selective Bottom-up Interconnects
Ivan Zyulkov (IMEC & KU Leuven); Silvia Armini (IMEC, Belgium); Stefan De Gendt (IMEC, KU Leuven, Belgium)

Replacement of Cu interconnects by an alternative metal will be required beyond 32 nm metal pitch in order to decrease the metal line resistance and prevent IC failure due to the Cu electromigration. Based on recent studies, Ru has several advantages when compared to Cu, which make it an attractive candidate for the Cu replacement: i) lower thickness dependence of the resistivity due to a short electron mean free path (6.6/4.9 nm for Ru vs 39.9 nm for Cu), ii) higher melting temperature (2334 ºC for Ru vs 1032 ºC for Cu) which represents better Ru resistance to electromighration and iii) possibility of integration without a diffusion barrier, resulting in a larger effective metal area. However, conventional electrochemical and electroless deposition methods used in IC manufacturing are not available for the Ru metallization. Additionally, downscaling of the metal structures down to 10 nm causes metal lines filling issues even using conformal ALD, since seams are likely to be formed due to trench pinch-off. As a solution, area selective deposition (ASD) can be exploited, allowing bottom-up and void-free filling of high aspect ratio structures. In addition, ASD of Ru can find application in advanced patterning schemes.

This work is focused on ASD of Ru in a via area of the interconnect structure. In this case ALD selectivity to metallic via bottom (underlying metal line) should be achieved with respect to organosilicate glass (OSG) via sidewalls. In this work, thermal ALD Ru using an ethylbenzene-ethyleyelohexadiene (EBECHRu) precursor with O2 co-reactant was used. The precursor molecule has ethyl-cyclohexadienyl ligands, which are expected to show a preferential interaction/ inhibition with specific surface groups. In order to passivate the hydroxy-terminated OSG sidewalls against the Ru deposition different siloxane derived self-assembled monolayers (SAMs) were used. According to RBS and SEM analysis, (3-trimethoxysilylpropyl)diethylenetriamine (DETA) SAMs provide more than 300 cycles inhibition of the ALD Ru growth on Si oxide and OSG. XPS, FTIR and spectroscopic ellipsometry on the SAM film before and after ALD are compared in order to identify relevant selectivity mechanisms. In order to prevent DETA passivation of the Cu interface where ALD Ru is expected to grow for the bottom-up via fill, undecanethiol (UDT) SAMs were used as a selective sacrificial Cu protection before the DETA deposition. The thiol SAMs can be removed from the Cu surface at 250 ºC while silane (DETA) is stable on the dielectric surface at temperatures above 350 ºC and higher. The double SAM and area selective bottom-up ALD Ru tested in 45 nm half-pitch lines will be presented.

Session Abstract Book
(299KB, May 6, 2020)
Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2018 Schedule