AVS2018 Session NS-ThP: Nanometer-scale Science and Technology Division Poster Session

Thursday, October 25, 2018 6:00 PM in Room Hall B

Thursday Evening

Session Abstract Book
(362KB, May 6, 2020)
Time Period ThP Sessions | Topic NS Sessions | Time Periods | Topics | AVS2018 Schedule

NS-ThP-1 Intermolecular Interactions in Self-Assembled Monolayers on Metal Surfaces Characterized by Ultrahigh Vacuum Tip-Enhanced Raman Spectroscopy
Jeremy Schultz, Philip Whiteman, Nan Jiang (University of Illinois at Chicago)
In order to fully characterize molecular assemblies at the single molecular scale, advanced analytical surface techniques have to be employed. We carried out scanning tunneling microscopy (STM) experiments on two molecules ( N-N'-bis(2,6-diisopropylphenyl)-perylene-3,4:9,10-bis(dicarboximide) (PDI) and subphthalocyanine (SubPc)), which are both self-assembled on noble metal substrates. The STM experiments were complemented by tip-enhanced Raman spectroscopy (TERS), surface-enhanced Raman spectroscopy (SERS) and density functional theory (DFT) calculations. In particular, we have interrogated the lifting of an accidental vibrational degeneracy of a mode of PDI on Ag(111) and Ag(100) surfaces, with the most strongly perturbed mode being that associated with the largest vibrational amplitude on the periphery of the molecule. In the other hand, the alignment between expeimental TERS of SubPc on surface and DFT calculated Raman spectrum of gas phase SubPc was quite good, which indicates that the interaction between SubPc molecules in the monlolayer is very weak. New two-dimensional molecular superstructures were discovered to consist of several distinct molecular binding configurations. Both TERS and SERS experiments of SubPc yielded nearly identical vibrational spectra for both binding configurations, consistent with their small adsorption energies (<0.2 eV) as calculated by DFT. Our results demonstrate the necessity of advanced Raman techniques such as TERS when precisely probing molecule-molecule and molecule-substrate interactions.
NS-ThP-2 Nanoscale Detection of Surface Plasmon-driven Hot Electron Flux on Au/TiO2 Nanodiodes with Atomic Force Microscopy
Hyunhwa Lee (Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea); Hyunsoo Lee (Institute for Basic Science (IBS), Republic of Korea); Jeong Young Park (Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea)

Electrons with high kinetic energy (1-3 eV) can be generated in metals during surface reaction processes. These energetic electrons are called “hot electrons”. A way to detect these hot electrons is by using metal-semiconductor Schottky diode. It was proposed that enhanced light absorption with localized surface plasmon resonance results in amplified hot electron generation by utilizing Au/TiO2 Schottky diodes. In this scheme, the surface morphology of the metal thin film was modified to a connected gold island structure that exhibits surface plasmons.[1,2]

To probe the enhanced hot electron flows by surface plasmon, we fabricated patterned Au islands on TiO2 diodes using e-beam evaporator, [3] and measured the local photocurrent with the conductive probe atomic force microscopy under back illumination of the light. The gold pattern has triangle shape with the length of the hypotenuse of 150 nm and the thickness of 20 nm. We found that the photocurrent depends on the wavelength of laser, and the bias between Au and TiO2. The photocurrent measured at the edge of the Au islands was higher than that on the flat area of Au islands. The result indicates the localized surface plasmon resonance leads to enhancement of hot electron flux.

Reference

1 Y . K. Lee, C. H. Jung, J. Park, H. Seo, G. A. Somorjai , and J. Y . Park , Nano Lett. 11, 4251 (2011).

2 H. Lee, Y. K. Lee, E. Hwang, and J. Y . Park, J. Phys. Chem. C. 118, 5650-5656 (2014).

3 H. Lee, Y. K. Lee, T. N. Van, and J. Y. Park, Appl. Phys. Lett. 103, 173103 (2013).

View Supplemental Document (pdf)
NS-ThP-3 Surface Functionalization of 2D Mo2C
Yang Zeng, Peter McBreen, Tianchi Zhang (Laval University, Canada)
A preliminary study of the surface reactivity of 2D -α-Mo2C crystallites grown on a copper foil was performed using X-ray photoelectron spectroscopy. Different sample preparation protocols for the as-received materials were explored in order to remove hydrocarbon surface contamination. Annealing in vacuum and in argon led to the formation of graphitic layers while annealing in O2 lead to almost complete disappearance of the Mo signal. Gentle argon ion sputtering proved effective at removing the hydrocarbon contamination to reveal pristine molybdenum carbide. XPS spectra were recorded following the exposure of the prepared sample at room temperature to furfural. The results are commented on in relation to deoxygenation and olefin metathesis surface chemistry.
NS-ThP-4 a-Si:H Spacer Lithography Using Different Mandrels (Al, SiNx and Photoresist) and Etching Processes (RIE, ECR and ICP)
Andressa Rosa, José Alexandre Diniz (UNICAMP, Brazil)
Semiconductors nanowires are essential for obtaining present and future electronic devices (transistors) and integrated circuits (microprocessors), which require technologies with dimensions smaller than 50 nm and 10 nm, respectively1,2. In this context, Spacer Lithography (SL) or Self- Aligned Double Patterning (SADP) methods for the definition of silicon nanowires (SiNWs), for sub-150 nm width dimensions, were developed. These methods are based on: i) hydrogenated amorphous silicon (a-Si:H) spacers (two thickness values of 60 nm and 150 nm) deposited by ECR-CVD (Electron Cyclotron Resonance (ECR) - Chemical Vapor Deposition (CVD)) at room temperature; ii) three different types of mandrels, aluminum – Al, deposited by sputtering;3 silicon nitride – SiNx , obtained by ECR-CVD; and photoresist, deposited by spinner; and iii) three different etching processes (RIE (Rective Ion Etching), ECR and ICP (Inductively Coupled Plasma). Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM) were used to obtain the SiNW widths and the shapes of tridimensional (3D) structures as shown a Figure 1. The Table 1 shows the results of the SiNW width values extracted from SEM images and confirmed by AFM analyses, in related to a-Si:H thickness (60 nm or 150 nm), mandrel materials (Al, SiNx or Photoresist) and etching processes (RIE, ECR or ICP). Furthermore, it is presented the comparison between the original a-Si:H thickness, after deposition and before etching process, and the SiNW width, after the etching, to detect if the lateral anisotropic etching of a-Si:H has occurred (or not), to obtain SiNW less wide than expected. From the results, it can be conclude that our method for the formation of semiconductors nanowires sub-150 nm wide is effective and feasible for 3D devices prototyping. Besides that, RIE and ECR processes present lateral etching, obtaining SiNWs with wide less that the a-Si:H spacer thickness.3 This result is interesting for the nanostructure formation without the traditional methods (e.g., EBL or 193i).2 It is important to notice that, the ICP process enable the SiNWs formation with width similar to the a-Si:H spacer, indicating that process is anisotropic.


1 Koike, K. et. al. Proc. SPIE 10586, Advances in Patterning Materials and Processes XXXV, 105861F (13 March 2018);

2 Bunday, B. et. al. Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105850I (22 March 2018);

3 Rosa, A. M. et al. IEEE 30th Symposium on Microelectronics Technology and Devices (SBMicro) (2015).

View Supplemental Document (pdf)
NS-ThP-5 Optimization of Stitching Multiple Fields of View for Large Scale Two Photon Lithography
Steven Kooi (Massachusetts Institute of Technology)
We explore multiple methods for the optimization of high accuracy overlapping of writing fields of view in a home-built two photon microscope. Stage feedback, interferometry and active optical marker tracking methods are compared and combined to provide the highest precision overlapping to allow for the fabrication of mm sized two and three dimensional photonic structures from individual fields of view written by galvo scanning two photon lithography. Optimized structures are large enough to be characterized by optical transmission, reflection and absorption measurements. These optical measurements are compared to theoretical predictions to quantify the structure fidelity. Additionally, cross sectional imaging is used to locally probe the intersectional areas where multiple fields of view meet.
NS-ThP-6 Fabrication of Carbon Nanotube-Based Electronic Devices with the Dielectrophoresis Method
Joevonte Kimbrough, Sam Chance, Brandon Whitaker, Zackary Duncan, Kenneth Davis, Alandria Henderson, Qunying Yuan, Zhigang Xiao (Alabama A&M University)

We report the deposition and alignment of semiconducting carbon nanotubes with the alternating electric field-directed dielectrophoresis (DEP) method and the fabrication of carbon nanotube-based electronic devices with the DEP-aligned semiconducting carbon nanotubes (CNTs). Semiconducting carbon nanotubes, which were dispersed ultrasonically in solutions, were deposited and aligned onto a pair of gold electrodes using the dielectrophoresis method. The DEP-aligned tubes were further fabricated into carbon nanotube field-transistors (CNTFETs) and CNTFET-based electronic devices such as CNT-based inverters and ring oscillators using the microfabrication techniques. The aligned carbon nanotubes and fabricated devices were imaged using the scanning electron microscope (SEM), and the electrical properties were measured from the fabricated devices using the semiconductor analyzer. The semiconducting CNTs achieved higher yield in the device fabrication, and the fabricated devices demonstrated excellent electrical properties.

NS-ThP-7 Fabrication and Electrical Characterization of a Flagella-Scaffolded Metallic Nanocluster Network
Marko Chavez, Patrick Edwards, Mohamed El-Naggar, Vitaly Kresin (University of Southern California)

Bacteria produce rotary filamentous appendages, known as flagella, for propulsion through their environment in response to various chemical signals. The flagella, of nanoscale width and of microscale length, can be easily isolated from the microorganisms at low cost and in large quantities. Once isolated, these nanofilaments of uniform size distribution can be deposited onto desired surfaces in controlled quantities and can act as novel templates for nanostructures. Flagella placed on a surface ahead of ionic, size-selected metallic cluster deposition could act as scaffolds in the construction of nanocluster networks. These organized nanocluster networks could then be used to investigate the various unique quantum and nanoscale properties exhibited by finite-size systems. These include enhanced surface plasmon resonance, catalytic applications, charge tunneling junctions, and Josephson current in potential superconducting arrays.

NS-ThP-8 High-contrast Infrared Polymer Photonic Crystals Fabricated by Direct Laser Writing
Yanzeng Li, Daniel B. Fullager, Serang Park (University of North Carolina at Charlotte); Darrell Childers (USC Conec, Ltd.); Glenn D. Boreman, Tino Hofmann (University of North Carolina at Charlotte)

Direct laser writing has been established as a prototyping tool for the rapid fabrication of optical materials with nanometer-sized features. So far, however, highly reflective photonic crystals have been predominately obtained from 3D polymer templates manufactured by direct laser writing which were subsequently inverted using high index materials. The incorporation of high index materials enhances the reflectivity of a given 3D structure considerably, but it inevitably increases the complexity of the fabrication process. Here we demonstrate the successful fabrication of one-dimensional photonic crystals by 3D direct laser writing using only a single polymer to obtain reflectance values approaching that of a gold reference in the near-infrared spectral range. The necessary periodic variation of refractive index is achieved by utilizing partially filled layers wherein integrated sub-wavelength-sized pillars are utilized as a scaffold while simultaneously providing index contrast to that of solid polymer layers. Bruggemann effective medium theory and simulated reflectivity profiles were then used to optimize the photonic crystals’ design to operate at a desired wavelength of 1.55 μm. After fabrication, the structures of the photonic crystals were compared to the nominal geometry via inspection of SEM micrographs and showed true-to-form fabrication results. A good agreement between the model-calculated and measured FTIR reflection and transmission data is observed demonstrating the ease of predictive design with this method.

NS-ThP-9 Controlled Water-repellent Behavior by Modulating the Density of Nanoscale Si Nanopillar Structure Fabricated with Bio-template and Neutral Beam Etching Technique
Daisuke Ohori, Seiji Samukawa (Tohoku University, Japan)

Si NP structures have a great potential for thermoelectric and cooling device applications. However, current fabrication techniques are too complicated. Furthermore, it is difficult to modulate the properties of the NP by those methods. In this work, we proposed an excellent method to fabricate the NP structure. The water-repellent characteristic of the fabricated Si nanopillar (NP) structure was investigated, and we try clearing the contact angle of density dependence for Si-NPs structure.

12 nm in diameter Si-NPs structure with various density ranging from 1.6 x1011/cm2 (low-density) to 7.1 x1011 /cm2 (high-density) were fabricated. These samples were fabricated with a unique technique of a bio-template mask and a neutral beam etching. The bio-template mask is a protein shell with an iron oxide core, called ferritin. The density of the Si-NPs can be easily adjusted by modulating the distance between ferritins. The ferritin arrangement was carefully adjusted by controlling the length of the decorated poly( ethylene - glycol ) (PEG); a spin-coating was carried out for this arrangement process . Thereafter, an etching process was done by a neutral beam etching (NBE) technique . The NBE process could realize the damage - less etching on the surface/interface utilizing a bottom electrode that neutralize s ion in pulsed-plasma. The NBE process could realize the damage-less etching on the surface/interface utilizing a bottom electrode that neutralizes ion in pulsed-plasma. NBE can also minimize a UV irradiation to the sample which is beneficial to reduce the occuring lattice defects.

We measured the contact angle for all samples under the conditions that were the as-etch and removed SiO2 layer. For the as-etched condition, the contact angle of the low-density and high-density samples were 4.6 and 9.1 deg, respectively. Meanwhile, the contact angle of Si wafer with a SiO2 layer was 48.1 deg. After the removal of the SiO2 layer, the contact angle of the low-density, high-density samples, and Si wafer became 112, 104, 89.8 deg, respectively. T his indicates that the removal of the SiO2 layer also helps to improve the contact angle, especially the Si-NPs samples.
NS-ThP-10 An Empirical Model of Fences Formation during Ion Beam Processing
Anthony De Luca, Jean Guerrero, Clotilde Ligaud (Cea, Leti, Minatec, France)

For many years integrated circuits and microstructure devices fabrication, getting smaller features in order to achieve higher density has been a challenge with lithography and dry plasma etching technology improvements. Now, the fabrication of new microsystems need the introduction of materials (like Pt, Ni, Mn, Fe, Co, etc …) incompatible with stand plasma etching. Leading to new stringent etch requirement, the Ion Beam Etching Process enables to address these technological issues.

In this paper, the ion beam milling process is not presented as a simple technological step after lithography and before stripping steps. We will discuss about a complete technological step including lithography, etching and stripping. All the applications presented need metallic compounds or metallic alloys stack etching for microsystem applications. We will presented an empirical model based on experiments to describe fences formation during ion beam etching.

Different design with squared and rounded patterns were tested. Isolated and array of patterns were processed to simulate the impact of design and the role of etching surface in fences formation. All the design were also used for differents metallic stacks and metal simple layer in order to understand the impact of material in fences formation.

NS-ThP-11 Towards Molecular-Level Control of Reactions on Organic Semiconductor Surfaces
Gregory Deye, Jacob W. Ciszek (Loyola University Chicago); Jixin Chen, Juvinch Vicente (Ohio University); Shawn Dalke, Selma Piranej (Loyola University Chicago)

Presently, it is an enormous challenge to react organic surfaces with a molecular-level of control, and this largely because current methods, including UV-ozone and electron irradiation, are inherently crude. Unsurprisingly, the precedence of reactions on organic (molecular) surfaces is minimal as these materials do not have the long rich history of inorganic surfaces. As a consequence, the factors defining reactivity have not been effectively evaluated. In contrast with inorganic surfaces which are strongly held together by metallic or covalent bonding, organic surfaces are only held together by relatively weak van der Waals interactions. Furthermore, reactions on organic surfaces are highly anisotropic, where molecular orientation within the lattice is cornerstone to the reactivity of a surface. We examine these and other factors by exposing acene thin films to reactive vapors under a controlled parameter space. Reaction extent was determined using polarization modulation infrared reflection absorption spectroscopy and x-ray photoelectron spectroscopy, and surface morphology was evaluated using atomic force microscopy and scanning electron microscopy. We show that with only mild thermal activation, a surface reaction extended into the subsurface, which we attribute to the weak intermolecular interactions comprising the surface. Classical reaction models were evaluated for their predictive ability and it was found that surface reactions most closely resembled solution/gas phase precedence, while diffusion into the surface plays a small role. Finally, reactivity on organic surfaces are profoundly influenced by defects in a manner not that dissimilar to that of their inorganic counterparts. This work demonstrates the important factors guiding reactivity on organic surfaces, and offers insights into controlling chemical functionalization on the molecular-level.

NS-ThP-12 The TESLA JT SPM
Markus Maier, Dirk Stahl, Alexandre Piriou, Matthias Fenner, Juergen Koeble, Konrad Winkler, Thomas Roth (Scienta Omicron GmbH, Germany)

The TESLA JT SPM provides access to more than 5 days SPM measurement time at temperatures down to 1K (4He operation) with magnetic fields larger than B > 3T. Careful thermal design of the bath cryostat and JT cooling stage as well as the integrated UHV magnet lead to exceptionally low LHe consumption of only 11 liters LHe for 120 hours, specifically also during magnet operation and field variation. The external JT Helium supply allows for 3He operation and significantly lower temperatures in the range of 500mK.

The microscope head is a proven, highly stable design developed specifically for high magnetic field environments. It offers the full range of SPM measurements modes, including Scienta Omicron’s leading QPlus AFM technology.

Safe and independent tip/sample exchange under optical control is one of several key ease-of-use features delivering dependable high performance SPM and successful scientific work.

In contrast to a conventional wet magnet concept, the dry split-pair magnet provides for optical access enabling various optical experiments and even in-situ evaporation into the SPM at low temperatures.

We will discuss the technical concept and will show performance evaluation measurements at T=1K that prove stability below 1pm as well as energy resolution on superconductors.

Specifically, continuous STM and QPlus AFM imaging at varying temperatures during magnetic field ramping without increasing the LHe consumption differentiate the concept from traditional 4He and 3He systems and open up new experimental possibilities.
NS-ThP-13 Recent Developments of Home-made UHV SPM Systems and their Applications
Qing Huan, Rongting Wu, Linghao Yan, Deliang Bao, Ruisong Ma, Zebin Wu (Institute of Physics, CAS, China); Zhaoyan Gao, Xiya Chen (University of Chinese Academy of Scineces, China); Junhai Ren (Institute of Physicsics, CAS, China); Li Dong, Aiwei Wang, Huan Yang, Yuqing Xing, Liangmei Wu, Jiahao Yan, Yeliang Wang, Lihong Bao, Shixuan Du, Hongjun Gao (Institute of Physics, CAS, China)
Scanning probe microscope (SPM) is a powerful tool for studying physical and chemical processes at single molecular/atomic level. The first part of this report will introduce our R&D progresses on UHV-SPM systems, which includes the update of a 4-probe STM, Variable-temperature STM, Low-temperature SPM combined with MBE and optical accesses, and Low-temperature SPM combined with PLD et. al. The second part will mainly introduce some research works on graphene, organic functional molecules and so on which are carried out on these home-made systems. View Supplemental Document (pdf)
NS-ThP-14 Novel In-situ Diagnostic tools to Analyze Chemical Composition and Energy Spectrum of Vapor in Thin Film Deposition Process
Mikhail Strikovski, Solomon Kolagani (Neocera LLC)

The device potential of multicomponent films in various electronic, magnetic and optical applications critically depends on (i) the chemical composition and (ii) the kinetic energy of the atomic species arriving at the film growth surface. We present two novel methods and instrumentation that allow analysis and control of both composition and energy spectrum of the deposition species. Pulsed Laser Deposition (PLD), a well-known deposition method for multi component materials has been chosen to demonstrate these in-situ diagnostics, providing researchers and engineers an immediate feedback in real-time.

The first tool, called Low Angle X-ray Spectrometer (LAXS), executes quantitative analysis of multiple X-ray spectra emitted by the film-substrate system under the impact of a high-energy electron beam. As the film thickness increases, LAXS follows the evolution of the x-ray spectrum dynamically, and applies special analytical algorithm to find film composition. To validate LAXS, we have chosen multi-elemental compound Y-Ba-Cu-O and demonstrated the efficiency of the technique in identifying the deposition conditions that result in the stoichiometric YBa2Cu3 cation composition needed for optimum superconducting properties. In another example using Zn-Ti-Cr continuous compositional spreads, LAXS provides a 2D- map of the resulting compositions that the user can correlate with the distribution of physical properties of interest.

The second tool is the Ion Energy Spectrometer (IES), a differential retarding field energy analyzer, which probes kinetic energy distribution of ions at the growth substrate. Depending on a number of system variables, actual energetics of ions arriving at the growth surface is a critical process parameter that needs careful optimizations. As an example, we analyze the energy spectrum of CeO2 as a function of oxygen partial pressure in a typical PLD case. Ions of energy as high as >100 eV are present, while majority of the ions are distributed in the 5 - 40 eV range. By varying oxygen background pressure, the IES spectrum is fine-tuned to have a spectral maximum at ≤10 eV- desirable for non-thermally activated, yet soft film growth. The IES also provides several operational modes, including quick acquisition of Time-Of-Flight spectrum.

NS-ThP-15 Towards Automated High Throughput Drug Delivery with Plasmonic Nanopipettes
Naihao Chiang, Yao Gong, Leonardo Scarabelli, Natcha Wattanatorn, Chuanzhen Zhao, Jason Belling (University of California at Los Angeles); Nam-Joon Cho (Nanyang Technological University); Steven Jonas, Paul Weiss (University of California at Los Angeles)
The emergence of robust genome editing methods and their application to hematopoietic stem and progenitor cells (HSPCs) are increasingly being employed to produce more sophisticated gene therapies. We are developing a new gene-delivery platform which provide an optimized environment that promotes survival and facilitates the efficient delivery of targeted endonucleases to HSPCs for improving the development of gene therapies. Specifically, we are combining scanning probe microscopy (SPM) with plasmonics. We are developing a recipe for constructing plasmonic-active gold nanopipettes with controllable localized surface plasmon resonances (LSPR) for adapting different laser excitations. When a nanopipette is placed in close proximity to a stem cell, a low power laser will be used to excite the LSPR at the pipette’s tip. The highly localized and amplified electromagnetic field from the LSPR will create a nanometer-size pore along the cell’s outer membrane without physically penetrating the cells. The ability of performing the proposed experiments at the single-cell level, without severely damage the cells, gives us a direct access to understand the effectiveness of our procedures, and therefore provide new insights for the field of nanomedicine and gene-therapy.
NS-ThP-16 High Fidelity and Sustainable Anti-reflective Moth-eye Nanostructures and Large Area Sub-wavelength Applications
Shuhao Si (Technische Universität Ilmenau, Germany); Martin Hoffmann (Ruhr-Universität Bochum, Germany)

The eyes of moths own a feature of unique significance that they reflect little or no light. The dome-like patterns in a depth of approximately 200 nm with pitches of about 200 nm function as a surface with graded refractive index to reduce the reflections.

In recent years, the booming of large screen TVs and smart phones brings increasing attentions for the AR moth-eye structures in sub-wavelength for panels. The AR moth-eye structures applied on smart phone glass displays require finer high resolution and well-oriented patterns, as well as much higher ability to sustain finger frictions and environmental contamination. However, the ideal moth-eye like structure is acknowledged to be parabolically curved domes, which has been rarely systematically demonstrated, and the reported methods suffer from the long-term sustainability. Formed by coating, those reported nanoparticles spheres can be easily peeled off from the surface inevitably by scratching or sticking in either hard or soft pressing from the first steps. The sustainability and reproducibility, thus the reduction of total cost of ownership, are strongly hindered as a consequence. Therefore, such critical issues have not been properly tackled.

An attempt has been made in this work to focus on the sustainability and reproducibility of the moth-eye structures fabricated in profile of parabolically curved domes. A master defining the resolution of the sub-wavelength structures is prepared, commonly by means of EBL. The transfer of large area moth-eye nanostructures is conducted by soft UV-NIL. The next critical step is to etch the substrate for sloping sidewalls, i.e. in an isosceles trapezoid from a cross-sectional view. After that, the silicon substrate is thermally oxidized, in which way the domes can be achieved taking advantage of the variation of oxidation rate at the structure corner, sidewall and bottom. By this step, a template featuring highly ordered moth-eye nanostructures in profile of parabolically curved dome of sub-wavelength resolution is well defined. The moth-eye patterns will be transferred onto the target glass substrate though soft UV-NIL and subsequent processing. The dome structures are made eventually in the substrate via covalent bonding, rather than physical adhesion in case of nanoparticle spheres. Loss of nanoparticles due to pressing, sticking, scratching and so on is hardly an issue.

The moth-eye nanostructures patterned in glass are expected to show improved reflectivity of the incident sunlight in sub-wavelength application of portable electrical devices such as smart phone glass displays. Soft UV-NIL enables its potential for direct large area replications.

View Supplemental Document (pdf)
NS-ThP-17 Fano Resonances at Interference of Electron Waves in Geometrically Inhomogeneous Semiconductor 2D Nanostructures
Victor Petrov (Institute of Radio Engineering and Electronics, Russian Academy of Sciences, Moscow, Russia, Russian Federation)

Fano resonances (FRs) in a semiconductor 2D nanostructure (NS) geometrically inhomogeneous along the propagation of the electron wave (EW) (the x-axis) are theoretically investigated. As is known, FRs [1] arise from interference of EWs propagating along two channels:one of them in the continuous energy spectrum, and the other - a quasistationary state against the background of this spectrum. The considered symmetric along the z-axis NS consisted of three sequentially arranged rectangular quantum wells (QWs) in which the motion of the particle was limited along the z-axis:QW1 of width L1 at x < - a, QW2 of width L2 at - a < x < a and QW3 of width L1 at x > a. It was assumed that L1 < L2. In this case, the potential along the x-axis abruptly changed at the points x = - a and x = a, and in each QW a series of quantum-size subbands (QSSs) was formed. Thus the energy QSSs E(1),n in QW1 and E(3),n in QW3 was the same for the same width of this QWs. In a wide QW2 the distance between QSSs E(2),m was less than the distance similar number of QSSs in QW1 and QW3 ( figures 1,2,3 in round brackets indicate the number of the QW, and n and m – number of QSSs, respectively, in QW1, QW3 and QW2). Therefore, in QW2 formed longitudinal rectangular QW along the x- axis of width 2a, due to the different energy position of the QSSs in QW1, QW3 and QW2. These QWs also formed QSSs Ex(2),t due to the restriction of motion in them along the x-axis. These QSSs were the quasistationary states providing the formation of FRs in the considered NS. We calculated the dependence of the NS transmission coefficient |T(Ex)|2 for the electron wave of the unit amplitude propagating from the QW1 along the lower QSS E(1),1,on its longitudinal energy Ex in the range E(1),1< Ex< E(1),2. The widths of the QWs L1 and L2 in the symmetric on the z-axis NS were chosen so that in this range of variation of Ex in QW2 there exist at least two longitudinal QWs of different depths with quasistationary states: QWх,1, formed by the QSSs Е(1),2, Е(2),2 and E(3),2, and lying higher in energy QWх,2, formed by the QSSs E(1),2, E(3),2 and E(3),2. In this case, the wave propagation to QW2 was possible only through this overlying QSS, since the transition from the QSS E(1),1 in QW1 to the Е(2),2 QSS in QW2 was forbidden by the symmetry of the NS. At the same time, the wave propagation in the channel in the continuous spectrum and in the quasistationary state with the corresponding energy was possible in QW2. Further, the interfering waves propagated in QW3 also along one lower QSS with energy E (3),1 = E(1),1.Thus, when changing Ex, depending on |T(Ex)|2, FRs appeared.

[1] U. Fano, Phys. Rev. 124. 1866 (1961).

NS-ThP-18 Indirect Transition and Opposite Circular Polarization of Interlayer Exciton in a MoSe2/WSe2 van der Waals Heterostructure
Hsun-Jen Chuang, Aubrey Hanbicki, Matthew Rosenberger, C. Hellberg, Saujan Sivaram, Kathleen McCreary, Igor Mazin, Berend Jonker (Naval Research Laboratory)

Indirect transition and opposite circular polarization of Interlayer Exciton in a MoSe2/WSe2 van der Waals Heterostructure

Hsun-Jen Chuang, A.T. Hanbicki, M.R. Rosenberger, C. Stephen Hellberg, S.V. Sivaram, K.M. McCreary, I.I. Mazin, and B.T. Jonker

Naval Research Laboratory, Washington, DC 20375

An emerging class of heterostructures involves monolayer semiconductors such as many of the transition metal dichalcogenides (TMDs) which can be combined to form van der Waals heterostructures (vdWHs). One unique new heterostructure property is an interlayer exciton (ILE), a spatially indirect, electron-hole pair with the electron in one TMD layer and the hole in the other.

In this report [1], we use state-of-the-art preparation techniques [2] to create MoSe2/WSe2 heterostructures encapsulated in hBN. We observe ILE emission around 1.35 eV at room temperature and resolve this emission into two distinct peaks (ILE1 and ILE2) separated by 24 meV at zero field at 5 K. Furthermore, we demonstrate that the two emission peaks have opposite circular polarizations with up to +20% for the ILE1 and -40% for ILE2 when excited by circularly polarized light. Ab initio calculations provide an explanation of this unique and potentially useful property and indicate that it is a result of the indirect character of both electronic transitions. These peaks are double indirect excitons. i.e. indirect in both real and reciprocal space, split by relativistic effects.

This work was supported by core programs at NRL and the NRL Nanoscience Institute, and by the Air Force Office of Scientific Research #AOARD 14IOA018-134141. This work was also supported in part by a grant of computer time from the DoD High Performance Computing Modernization Program at the U.S. Army Research Laboratory Supercomputing Resource Center.

[1] Hanbicki, Aubrey T., Hsun-Jen Chuang, et al. "Double Indirect Interlayer Exciton in a MoSe2/WSe2 van der Waals Heterostructure." ACS Nano 12 (5) 2018: 4719-4726.

[2] Matthew R Rosenberger, Hsun-Jen Chuang, et al. “Nano-“Squeegee” for the Creation of Clean 2D Material Interfaces” ACS applied materials & interfaces 10 (12) 2018: 10379-10387

NS-ThP-19 Pycroscopy – A Community-driven Approach for Analyzing and Storing Materials Imaging and Spectroscopy Data
Suhas Somnath, Chris Smith, Rama Vasudevan, Sergei Kalinin, Stephen Jesse (Oak Ridge National Laboratory)

Materials science is undergoing profound changes, driven by continual improvements to instrumentation that have resulted in an explosion in the data volume, dimensionality, complexity, and variety, in addition to increased accessibility to high-performance computing (HPC) resources, and more sophisticated computer algorithms than ever before. However, the software supplied with the instruments such as microscopes typically do not provide access to advanced data analysis routines. In addition, such software store measurement data in proprietary file formats and are very expensive to license. These proprietary software and file formats not only impede data analysis but also hinder continued research and instrument development, especially in the era of “big data”. Therefore, moving to the forefront of data-intensive materials research requires general and unified data curation and analysis platforms that are HPC-ready and open source.

We have developed the Universal Spectroscopy and Imaging Data (USID) model, which is an instrument-agnostic data schema capable of representing data of any size, dimensionality, or complexity acquired on a regular grid of positions or random positions as in compressed sensing. This USID schema is stored in standardized hierarchical data format files (HDF5) that can be manipulated using any programming language, scale well from kilobyte to terabyte sized datasets. Consequently, USID files are curation-ready and therefore both meet the guidelines for data sharing issued to federally funded agencies and satisfy the implementation of digital data management as outlined by the United States Department of Energy. The generalized data representation allows data processing to be generalized to a single version of the algorithm regardless of the instruments or even modalities.

We have developed a free and open-source python package called Pycroscopy for analyzing, visualizing and storing data in USID HDF5 files. Our instrument-independent data format has also greatly simplified the correlation of data acquired from multiple instruments, necessary for comprehensive studies of materials. Unlike many other open-source packages that focus on analytical or processing routines specific to an instrument, USID can be readily adopted for different techniques within and beyond microscopy. Furthermore, the generality of Pycroscopy provides material scientists access to a vast and growing library of community-driven data processing and analysis routines that are desperately needed in the age of big data. In summary, Pycroscopy can greatly accelerate materials research and discovery through the realms of big, deep, and smart data.

NS-ThP-20 Auto-dispersing Cellulose Nanoparticles with High Uniformity via Self-assembly in Ionic Liquids
Yongjun Ahn, Seung-Yeop Kwak (Seoul National University, Republic of Korea)

In this study, auto-dispersing nanospheres with diameters of 20 nm were successfully fabricated via molar mass control and self-assembly of cellulose molecules in ionic liquids. We showed how to prepare cellulose nanoparticles with extremely decreased size and improved dispersion in water. Without extra treatment, the particles could be stably dispersed in the aqueous media longer than a month due to their positive charge on nanoparticle surfaces. The comprehensive analysis demonstrated that the molecular mobility and imidazolium at the reducing ends simultaneously played important roles in increasing the crystallinity and the size uniformity.

View Supplemental Document (pdf)
NS-ThP-21 The Silicon Atomic Layer Etching by Two-step PEALD Consisting of Oxidation and (NH4)2SiF6 formation
Eun-Jin Song (Korea Institute of Materials Science, Republic of Korea); Ji-Hoon Ahn (Korea Maritime and Ocean University, Republic of Korea); Jung-Dae (J.-D.) Kwon (Korea Institute of Materials Science, Republic of Korea); Se-Hun Kwon (Pusan National University, Republic of Korea)
The process of precise silicon etching on the atomic scale was investigated by examining the formation of an (NH4)2SiF6 thin film as an intermediate phase followed by the removal of this layer by sublimation. An amorphous (NH4)2SiF6 thin film was formed on a Si substrate via a two-step plasma-enhanced atomic layer deposition (PEALD) process consisting of an oxidation step involving an O2 plasma and a transformation step to deposit an (NH4)2SiF6 thin film using an NH3 / NF3 plasma, where the deposited thin film was removed by a sublimation process. Because the thickness of the (NH4)2SiF6 thin film could be linearly controlled by altering the number of PEALD cycles, the etching depth could be successfully controlled on the sub-nanometer scale.
Session Abstract Book
(362KB, May 6, 2020)
Time Period ThP Sessions | Topic NS Sessions | Time Periods | Topics | AVS2018 Schedule