AVS2017 Session PS+TF-ThA: Plasma Enhanced ALD

Thursday, November 2, 2017 2:20 PM in Room 23

Thursday Afternoon

Session Abstract Book
(336KB, May 6, 2020)
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule

Start Invited? Item
2:20 PM PS+TF-ThA-1 Mechanical, Physical, and Electrical Properties of Plasma-Enhanced Atomic Layer Deposition of Vanadium Nitride using Tetrakis(Dimethylamido)Vanadium and Nitrogen Plasma
Mark Sowa (Ultratech, Inc.); Ling Ju, Nicholas Strandwitz (Lehigh University); Alexander Kozen (US Naval Research Laboratory); Guosong Zeng, Brandon Krick (Lehigh University)
Vanadium nitride (VN) has been proposed for a variety of thin film electronics applications including interconnect diffusion barrier and supercapacitor electrodes. As with other transition metal nitrides, VN exhibits excellent mechanical properties and has been studied for its self-lubricating coating performance. VN thin films have been created primarily through PVD methods. Recently, atomic layer deposition of VN has been reported with tetrakis(diethylamido)vanadium (TDEAV) with NH3 gas and tetrakis(ethylmethylamino)vanadium (TEMAV) with NH3 gas and NH3 plasma.

We report plasma enhanced atomic layer deposition results for VN using tetrakis(dimethylamido)vanadium (TDMAV) with N2 plasma. Optimized TDMAV pulsing and N2 plasma conditions have been established. Analyses include spectroscopic ellipsometry(thickness and optical properties), four point probe(resistivity), XPS(stoichiometry and impurities), XRD(crystallinity), XRR(density and thickness), and sliding wear testing(tribological properties). Depositions were investigated over 150 - 300 °C. Sub-100 μΩ-cm resistivities have been realized at 300 °C.

2:40 PM PS+TF-ThA-2 Optimizing Process Parameters for Plasma Assisted Atomic Layer Deposition
David Boris, Virginia Wheeler (Naval Research Laboratory); Virginia Anderson (ASEE (residing at NRL)); Neeraj Nepal (Naval Research Laboratory); Samantha Rosenberg (ASEE Postdoctoral Fellow); Alexander Kozen (ASEE (residing at NRL)); Jennifer Hite, Scott Walton (Naval Research Laboratory); Charles Eddy, Jr. (U.S. Naval Research Laboratory)

Plasma assisted atomic layer deposition (PA-ALD) is a low temperature conformal layer-by-layer deposition technique that is based on a pair of self-terminating and self-limiting gas-surface half-reactions, in which at least one half-reaction involves species from a plasma. This approach generally offers the benefit of substantially reduced growth temperatures and greater flexibility in tailoring the gas phase chemistry to produce varying film characteristics. The flexibility and lower growth temperatures that plasmas provide come at the cost of a complex array of process variables that often require great care on the part of the user.

In response to this challenge, this work focuses on the use of plasma diagnostics to inform the choice of process conditions for PA-ALD systems. In this work we employ optical emission spectroscopy and charged particle collectors to characterize a Fiji 200 (Ultratech/CNT) PA-ALD tool. In particular, we assess the total ion flux reaching the substrate surface and the relative fractions of atomic and molecular species generated in the plasma under a variety of pressures and gas input flow fractions in context of PA-ALD of AlN and Ga2O3 films. Changes in plasma parameters are then linked with changes in film characteristics.

3:00 PM PS+TF-ThA-3 Tuning of Optical and Structural Properties of ZnO Deposited by Room Temperature-plasma Assisted Atomic Layer deposition
Alberto Perrotta, Julian Pilz, AnnaMaria Coclite (Graz University of Technology, Austria)

Wurtzite-structured ZnO thin films have been extensively investigated because of their unique optical, electrical, and piezoelectric properties, making it the material of choice in various applications such as transparent conducting electrodes, surface acoustic wave devices, and as sensors. In engineering ZnO-based devices, the material characteristics have to meet specific requirements in terms of opto-chemical and electrical properties and crystalline structure, together with very high conformality and thickness control. Plasma-assisted atomic layer deposition (PA-ALD) has been shown able to deposit very high quality ZnO thin films, combining the low process temperature with the exceptional atomic-thickness control. Furthermore, the properties of the material can be tuned by varying the plasma characteristics, making it suitable to adapt the material to specific applications.

In this contribution, high quality ZnO thin films have been deposited by PA-ALD optimized at room temperature, adopting diethyl zinc (DEZ) and oxygen plasma. The properties of the material have been investigated as a function of the radio frequency plasma power and plasma exposure time, and characterized by X-ray diffraction (XRD), gracing incidence XRD with synchrotron radiation, spectroscopic ellipsometry (SE), and X-ray photoelectron spectroscopy (XPS). The X-ray diffraction patterns of polycrystalline ZnO thin films showed rather preferred (100) orientation and XPS analysis showed the complete removal of the DEZ carbon ligands, confirming the possibility to obtain high quality crystalline ZnO at room temperature. Furthermore, the effect of the plasma power on the opto-chemical properties, growth, and crystalline structure has been investigated. Finely tuning of the optical properties of the PA-ALD ZnO layers has been achieved, with refractive index ranging between 1.82 and 1.89 at 633 nm. Moreover, the absorption has been found to increase and shift in the visible range at low plasma power. In addition, XRD showed a distortion of the (100) peak at low and high plasma power, indicating the possibility to vary the crystallite size as a function of the plasma parameters.

As an outlook, the optimized PA-ALD process at room temperature allows the deposition of ZnO on thermo-sensitive nanostructured templates, inferring the possibility to adopt it in engineering (flexible) structured devices.

3:20 PM PS+TF-ThA-4 Influence of Plasma Power on the Si Solar Cell Passivation Properties of Al2O3 Thin Films deposited by Atomic Layer Deposition at 90 °C
Zhen Zhu (Beneq Oy, Finland); Perttu Sippola (Aalto University, Finland); Emma Salmi (Beneq Oy, Finland)

In the recent years ALD Al2O3 surface passivation for Si solar cells has gained increasing popularity. The excellent passivation properties of ALD Al2O3 are based on a combined effect of chemical passivation and fixed high negative charge density. A bulk of the work has concentrated on thermal ALD, but also plasma enhanced ALD (PEALD) has been considered. However, the effect of plasma parameters, particularly plasma power, on the passivation properties remain uncharted.

In this work, we have studied the effect of plasma power on the properties of PEALD Al2O3 deposited at low temperatures with focus on the Si solar cell passivation. The Al2O3 was grown from TMA and O2 plasma. All depositions were done with a Beneq TFS 200 ALD reactor at 90 °C. The lifetime was studied for as deposited and post-annealed samples.

The plasma power significantly affected the film properties. Low plasma power appeared to lead to the lowest film quality in regards of purity, density and refractive index. When the power was increased from 50 to 100–300 W the density increased from 2.6 to 2.8 g/cm-3. Similarly, the refractive index increased from 1.61 to 1.62 (at 628 nm). The higher plasma power appeared to increase the amount of available O radicals, leading to more efficient reaction completion and improved film optical and structural properties. The same trend was also clear for the passivation properties for Si solar cells. For a 25 nm Al2O3 deposited with 50 W plasma power and annealed at 400 °C the lifetime at 1015 cm-3 injection level was 1.1 ms, while for Al2O3 deposited with 100 or 180 W it was 2.0 ms. The interface properties were also influenced. The 50 W sample had the lowest density of negative charge and the highest interface defect density, which agreed with the lower lifetime of the sample. Interestingly, the 100 W sample had the lowest level of defect density. This can be related to the more moderate level of ultraviolet radiation from the O2 plasma that the 100 W sample was exposed to as compared to the 180 W sample. Nevertheless, the 180 W sample had the best passivation properties due to its highest negative charge density. In fact, the negative charge density plays a major role in surface passivation when the magnitude of the negative charge density is much greater than that of the defect density.

High quality surface passivation of Si solar cells was achieved with PEALD Al2O3 grown at 90 °C. The passivation properties were shown to significantly improve with increasing plasma power.

3:40 PM BREAK
4:00 PM PS+TF-ThA-6 Optimizing MoO3 Plasma-enhanced ALD Thin Films for use in Controllable 2D Material Synthesis
Brittney Burant (MIT Lincoln Laboratory)

Monolayer MoS2 is a direct bandgap semiconductor with promising properties for novel devices. It has been shown that valley polarization can be achieved in MoS2 monolayers with circularly polarized light, which would allow the realization of novel information processing architectures through manipulation of the valley pseudo-spin. However, current production methods of MoS2 monolayers are either low yielding, or of relatively poor quality for valleytronic applications. To control the layer number, defectivity, and crystallinity of MoS2, a novel method for limiting growth through the sulfurization of wafer-scale MoO3 thin films has been developed.

Thorough characterization of the MoO3 plasma-enhanced ALD process was performed to understand the effect of MoO3 process parameters on the resultant MoS2. MoO3 films of 20-35 nm were deposited utilizing (NtBu)2(NMe2)2Mo as the organometallic precursor and O2 plasma for the oxygen source. Variations in Mo precursor dose time and O2 plasma exposure time show the expected trends, but substrate temperature effects are more significant. Growth per cycle increases with substrate temperature, from 0.88 Å/cycle at 100 oC, to 1.32 Å/cycle at 350 oC, with the highest GPC of 1.4 Å/cycle at 300 oC. Raman spectroscopy shows that films grown at low temperature are amorphous, while polycrystalline film growth occurs above 250 oC. These results are consistent with previously demonstrated MoO3 growth utilizing the same process and precursor1. Surface roughness, as measured by AFM, also increases with temperature, which is consistent with a transition to polycrystalline film growth. Through XPS analysis, the deposited films were determined to be sub-stoichiometric in all deposits, averaging an O/Mo ratio of 2.6, regardless of substrate temperature.

MoS2 films were grown on bare sapphire wafers by placing MoO3 source wafers face-to-face with growth wafers. The wafers were oriented horizontally and enclosed in a graphite susceptor to enable inductive heating. Spacing between the MoO3 source wafer and sapphire growth wafer was varied from 0 to 1 mm, and wafers were reacted at 700 oC in H2S gas. Initial reactions have shown increasing film deposition with decreased spacing between growth and source wafers. These results suggest that MoS2 film growth by this method is vapor-phase transport limited. XPS data confirms the formation of MoS2 on the growth wafer, however several layers of growth are observed. The effect of MoO3 source wafer crystallinity on MoS2 film quality is under investigation and will be presented.

[1] Vos, M., Macco, B., Thissen, N., Bol, A., Kessels, W. JVST A, 2015, 34(1), 01A103-1-7.

4:20 PM PS+TF-ThA-7 Plasma ALD of Fluorides: Process Characterization and In Situ Study of AlF3 ALD
Harm Knoops (Oxford Instruments Plasma Technology, UK); Martijn Vos, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology, The Netherlands)

In this work we used TMA (AlMe3) and SF6 plasma for atomic layer deposition (ALD) of aluminum fluoride (AlF3) films. SF6 plasma is a novel co-reactant for ALD and we employed quadruple mass spectrometry (QMS) and optical emission spectroscopy (OES) to study the film growth. AlF3 as well as other metal fluorides such as MgF2 and CaF2 generally have a wide bandgap (>10eV) and low refractive index (1.3-1.6). Due to these interesting properties they find use in many applications, including passivation layers in Li-ion batteries, electron transport layers in photovoltaics and protective coatings for optical devices. Previously, ALD of fluorides has been demonstrated using TiF4 and TaF5 as the fluorine source for the deposition of MgF2, CaF2 and LaF3 and more recently using HF for AlF3, ZrF4, MnF2, HfF2, MgF2 and ZnF2.1,2 The novel approach of using SF6 plasma as a fluorine source is a promising alternative to HF, because of the ease of handling that SF6 offers. Furthermore, SF6 plasma provides increased reactivity at lower temperatures and allows for reduced purge times, similar to the benefits of using an O2 plasma instead of H2O for metal oxide ALD.

AlF3 films were prepared on Si samples over a temperature window of 50°C to 300°C. Since SF6 plasma etches Si and SiO2, a thin Al2O3 layer was deposited prior to AlF3 growth. Using in situ spectroscopic ellipsometry (SE) the growth per cycle (GPC) was determined to decrease from 1.5Å at 50°C to 0.5Å at 300°C. Interestingly, no significant impurity levels of S, C and O were detected in the bulk of the AlF3 films using X-ray photo-electron spectroscopy (XPS), even for low deposition temperatures. Furthermore, XPS measurements showed a F/Al ratio of 3.0±0.2. The low impurity content and the stoichiometric F/Al ratio are in line with a refractive index of 1.35 at 633nm as determined by SE.

The reaction mechanism of the ALD process was addressed based on a combination of OES and QMS. These measurements suggest that CH4 is released during the TMA dosing, and that CH4, C2H2, HF, and CHxFy–species are formed during the plasma exposure. Furthermore, the reaction products during the plasma exposure show different trends in their release (e.g., mostly directly after striking the plasma or peaking after a few seconds of plasma exposure), which will be used to suggest a possible reaction mechanism. In addition, consumption of F can be observed similar to what is found in etching using SF6 plasma. Overall this work shows that SF6 plasma is a promising co-reactant which can inspire the ALD of a wide range of metal fluorides.

1Pilvi et al., Chem. Mater. 20 (2008)

2Lee et al., Chem. Mater. 28 (2016)

4:40 PM PS+TF-ThA-8 Ion Energy Control During Remote Plasma ALD for Tuning Material Properties of Transition Metal Nitrides
Tahsin Faraz (Eindhoven University of Technology, Netherlands); Harm Knoops (Oxford Instruments Plasma Technology, UK); Saurabh Karwal, Marcel Verheijen, Cristian van Helvoirt (Eindhoven University of Technology, Netherlands); Dennis Hausmann, Jon Henri (Lam Research Corporation); Mariadriana Creatore, Erwin Kessels (Eindhoven University of Technology, Netherlands)

Recently, it has been shown that the ion energy can play a significant role on the physical and chemical properties of thin films grown using plasma-enhanced atomic layer deposition (PEALD).1 In this work, we demonstrate the impact of ion energy control during PEALD of transition metal nitrides (e.g., TiNx, HfNx, etc.) which are of great interest for nanoelectronic device applications owing to their low electrical resistivity and excellent diffusion barrier properties.2 Ion energy control during plasma exposure was carried out in a commercial 200 mm remote plasma ALD system (Oxford Instruments FlexAL) equipped with radio-frequency (RF) substrate biasing (13.56 MHz, up to 100 W power, -350 V resulting DC bias voltage). In such low pressure, remote inductively-coupled-plasma reactors, the ion energy can be controlled independently of the ion flux by applying an RF bias signal on the substrate table during the plasma exposure step.

Depositions performed under no bias conditions for TiNx (at 200°C) and HfNx (at 450°C) films using a 10 s H2 (+Ar) plasma yielded electrical resistivities of 1960±60 and (900±0.7)x103 μΩcm and mass densities of 3.8±0.2 and 10.1±0.2 g/cm3, respectively. Enhancing ion energies with substrate biasing during PEALD was observed to have pronounced effects on the chemical composition, microstructure and material properties of these transition metal nitrides. Energetic ion bombardment through application of bias voltages lowered film resistivity by one order of magnitude for TiNx (139±10 μΩcm at -187V bias) and by two orders of magnitude for HfNx ((330±70)x101 μΩcm at -130V bias) while also increasing their respective mass densities (4.9±0.2 and 10.5±0.2 g/cm3). The residual stress of these films were also observed to change from tensile under no bias to compressive under bias conditions. The oxygen impurity content for films deposited without substrate biasing (~20 to 30%) was observed to be significantly reduced (≤ 4%) in films grown with bias voltages applied during plasma exposure. Furthermore, it will be discussed how the use of substrate biasing enhances PEALD process capability by providing several additional knobs (magnitude, duration and duty-cycle of bias, etc.) for tuning a wide range of material properties.

1 Profijt et al., J. Vac. Sci. Technol. A, 31, 01A106 (2013)

2 Karwal et al., J. Vac. Sci. Technol. A, 35, 01B129 (2017)

View Supplemental Document (pdf)
5:00 PM PS+TF-ThA-9 Understanding the Challenges in Atomic Layer Deposition of SiNx through Identification of the Surface Reaction Mechanisms
Rafaiel Ovanesyan (Colorado School of Mines); Dennis Hausmann (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines)

The rapid shrinking of semiconductor devices has created a need for the low-temperature (≤400 °C) atomic layer deposition (ALD) of highly-conformal silicon nitride (SiNx) and C‑containing SiNx films. However, to date, the ALD of these films remains challenging. In this work, we report the surface reaction mechanisms during the ALD of SiNx and C‑containing SiNx for several ALD processes. Initially, our research focused on a baseline SiNx ALD process that used alternating exposures of Si2Cl6 and NH3 plasma. This process was subsequently modified by replacing the NH3 plasma half-cycle with a CH3NH2 plasma to simultaneously incorporate both C and N. Finally, to overcome the limitations of SiNx films deposited using H-containing plasmas, a three-step ALD process was developed that used Si2Cl6, CH3NH2, and N2 plasma. The film composition, reactive surface sites, and adsorbed surface species were monitored using in situ attenuated total reflection Fourier transform infrared spectroscopy, which allowed us to elucidate the surface reaction mechanisms. In addition, in situ four-wavelength ellipsometry was used to obtain the growth per cycle (GPC). Ex situ analysis was used to obtain the conformality and elemental composition.

For the baseline Si2Cl6 and NH3 plasma ALD process, our infrared spectra show that on a post‑NH3-plasma-treated SiNx growth surface, Si2Cl6 reacts with surface –NH2 species to form –NH and –SixCl2x-1 (x = 1, 2) surface species. In the subsequent NH3 plasma step, the –SixCl2x-1 surface species are removed and the –NH2 surface species are restored, allowing for the continuation of the ALD process. Film growth during the Si2Cl6 and CH3NH2 plasma ALD process occurs via an almost identical reaction mechanism, with the exception that C is incorporated in the form of -N=C=N- species during the CH3NH2 plasma step. In the three-step ALD process, Si2Cl6 again reacts with surface –NH2 species, while in the CH3NH2 step, the CH3NH2 reacts with –SixCl2x-1 surface species via the formation of Si-N linkages to form Si2N-CH3 surface species. During the N2 plasma step, the Si2N‑CH3 surface species are removed and the –NH2 species are restored. When we compare the GPC and conformality (see Fig. 1) of the three-step ALD process to an aminosilane and N2 plasma ALD process, we observe that the three-step ALD process has a higher conformality (~90%) and a higher GPC (~0.9 Å). However, these values are less than those reported for NH3- or CH3NH2‑plasma-based ALD processes. This suggests that the three-step ALD process behaves as an intermediate between an NH3- or CH3NH2‑plasma-based ALD process and an aminosilane and N2 plasma ALD process.

View Supplemental Document (pdf)
5:20 PM PS+TF-ThA-10 First-Principles Understanding and Kinetic Monte Carlo Analysis of Reaction Mechanisms in Plasma Enhanced Atomic Layer Deposition of Silicon Nitride
Gregory Hartmann (University of Texas at Austin); Peter Ventzek, Jianping Zhao (Tokyo Electron America); Toshihiko Iwao, Kiyotaka Ishibashi (Tokyo Electron Tohoku Limited); Gyeong Hwang (University of Texas at Austin)
Plasma enhanced ALD (PEALD) allows fabrication of high quality and ultra-conformal SiN deposition at low temperature. The PEALD of SiN films involves a repetitive two-step process of i) silicon-containing precursor adsorption/decomposition and ii) nitridation. Halogenated silanes such as hexachlorodisilane, bis(tertiary-butyl-amino)- silane, and dicholorosilane (DCS, SiH2Cl2) have been utilized as Si precursors and nitrogen, hydrogen or ammonia have been used as nitrogen precursors. Despite previous studies, the underlying reaction mechanisms of these Si precursors with a nitrogen containing surface during PEALD still remain uncertain. First-principles density-functional theory (DFT) calculations have been used to identify a novel mechanism for the adsorption and decomposition of DCS on a hydrogenated SiN surface. Our study predicts that the DCS adsorption and dissociation can occur by overcoming a relatively low barrier (< 0.3 eV), far lower than the prohibitively large barriers predicted for previously proposed mechanisms. Through a detailed electronic structure analysis of the reaction intermediates, we have also elucidated the principles underlying the DCS adsorption and dissociation, notably the hypervalent nature of Si which permits chlorosilanes to adsorb prior to dissociation. A proper model of the interactions between the SiN surface are necessary to explain the ALD process and also indicate the mechanism for the formation of side products, which has a considerable contribution to the thermodynamic favorability of the proposed mechanism. Insights from the first principles calculations are incorporated into a Kinetic Monet Carlo Model (KMC) to illustrate different process scenarios. Understanding these principles allows us to develop guidelines for processing conditions, such as the importance of maintaining the proper surface composition to support Si precursor adsorption and dissociation.
5:40 PM PS+TF-ThA-11 High Quality Crystalline AlN Films Produced by PEALD with Microwave ECR Plasma below 200 ºC
Jesse Kalliomäki, Väinö Kilpi, Timo Malinen (Picosun Oy, Finland); Hiromichi Enami, Nobuyuki Mise (Hitachi High-Technologies Corp., Japan); Hirotaka Hamamura, Tatehito Usui (Hitachi R&D Group, Japan)

Due to continuous feature size scaling down and change to the 3D structures new process innovations are now required more than ever. Conformal film formation of Al compounds such as AlN is one of the key technologies. AlN is widely used in thermal management applications and due to its compatibility with III–V compounds it has shown growing interest e.g. as interface material. There is also huge potential for AlN in MEMS manufacturing. PEALD at low temperature is one of the suitable solutions for these applications.

We have earlier reported the superiority of low pressure microwave ECR(M-ECR) plasma for Si substrate nitridation at low temperature [1]. In present study, AlN film properties were evaluated for demonstrating the advantage of the newly combined tool with the M-ECR plasma and the leading ALD system from Picosun. TMA (Trimethylaluminum) was used as Al precursor while nitrogen plasma was generated with M-ECR plasma generator to form AlN. Film properties such as density, crystallinity and conformality were studied. Composition of film was analyzed by XPS with Ar sputter.

AlN film properties were investigated as a function of deposition temperature as shown in Fig. 1. The film density increases with deposition temperature and at 200°C the density is 3.09g/cm3, which is consistent for literature values for bulk AlN [2]. It was shown that longer N2 plasma exposure time improved film density. The temperature series in Fig. 1 shows that the growth rate was 0.57Å/c and 0.54Å/c at 100 and 250ºC, respectively. Interestingly, it was found a thickness dependent crystallization. For 20nm films deposited between 100 and 250ºC showed an amorphous structure, whereas 30nm film thickness and above show crystalline structure (verified by XRD) and higher roughness, see Fig. 2. Good quality films with conformality of < 0.5% (1σ non-uniformity) for 300mm wafer and high purity (C<1%, O<3%) were achieved. Efficient generation of the radicals and ions by M-ECR plasma at low pressure [3] is supposed to improve the film properties.

The step coverage obtained in this study at AR 1:20 is >90% as shown in Fig. 3. This is promising for 3D device fabrication where conformal coating of high aspect ratios is crucial. From these results, PEALD with M-ECR plasma can be one of the most advantageous solutions for next generation devices and opens the possibilities for beyond-silicon CMOS devices.

[1] H.Hamamura et al., 16th International Conference on Atomic Layer Deposition (ALD2016)

[2] JCPDF 00-003-1144 (AlN)

[3] H.Enami et al., submitted to ALD2017

View Supplemental Document (pdf)
Session Abstract Book
(336KB, May 6, 2020)
Time Period ThA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule