AVS2017 Session PS+SS+TF-WeA: Plasma Deposition

Wednesday, November 1, 2017 2:20 PM in Room 22

Wednesday Afternoon

Session Abstract Book
(282KB, May 6, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule

Start Invited? Item
2:20 PM PS+SS+TF-WeA-1 Correlation Between Ion Energies in CCRF Discharges and Film Characteristics of Titanium Oxides Fabricated via Plasma Enhanced Atomic Layer Deposition
Shinya Iwashita, Tsuyoshi Moriya, Takamichi Kikuchi, Naotaka Noro, Toshio Hasegawa (Tokyo Electron Limited, Japan); Akira Uedono (University of Tsukuba, Japan)

A plasma enhanced atomic layer deposition (PEALD) process for synthesizing titanium oxide (TiO2) thin films, which allows to modify the film properties by tuning the ion energies of capacitively coupled radio frequency (CCRF) discharges, was performed. TiO2 films were deposited via the oxidation of titanium tetrachloride using a typical CCRF discharge in argon/oxygen mixtures, and the energy distributions of ions hitting an electrode (wafer surface) during the deposition were adjusted by controlling the impedance of the electrode [1]. The wet etching rate of TiO2 films shows a clear correlation with the mean ion energy; a higher mean ion energy realizes a higher value of the wet etching rate. The film characteristics are varied due to the balance between the oxidation and ion bombardment during the PEALD process. In a high mean energy condition, fine pores are formed in films due to the bombardment of high energetic ions, which was confirmed by the physical analyses such as positron annihilation spectroscopy. One can conclude that the energy control of ions in CCRF discharges is interpreted as tuning the oxidation and ion bombardment, both of which determine the film characteristics.

[1] K. Denpoh et al Proc.38th Int. Symp. Dry Process, 183 (2016).

2:40 PM PS+SS+TF-WeA-2 Functionalized Titanium-Nitride Surfaces Formed by Femtosecond-Laser Processing
David Ruzic, Sabrina Hammouti, Brandon Holybee (University of Illinois at Urbana-Champaign); Brian Jurczyk (Starfire Industries)

Thin films surfaces are playing an increasing role in the application of smart materials. This covers the improvement of surface properties like hardness, corrosion resistance, thermal, magnetic properties and so on depending on the application field. Many methods evolved for the preparation of thin films and coatings and among them the laser surface treatment. Since a decade, femtosecond laser micro-machining has been successfully introduced in industry for optic, surface wetting, biological or catalytic applications. The high precision achieved with femtosecond lasers for drilling or texturing purposes originates primarily from the limited heat affected zone which is greatly reduced compared to that of laser systems with longer pulse duration such as nano or picosecond. Moreover, ultrafast laser surface processing provides a simple way of nanostructuring and surface functionalization towards optical, mechanical or chemical properties. Indeed, irradiation of surfaces with short laser pulses of high intensity in a reactive atmosphere can result in a direct coating formation if the laser parameters are properly adjusted.

In this study, femtosecond laser processing of titanium surface in nitrogen (laser nitriding) has been investigated due to technological importance of nitrogen in metals and alloys for fusion applications. A two-step process consisting firstly to a femtosecond laser texturing of titanium surface under argon and then under nitrogen, both at atmospheric pressure, has been used. The laser treatment under argon has been proved to be efficient to remove most of the oxide layer which otherwise reduce the formation of titanium nitride. Several laser parameters for both laser treatments have been tested to obtain the best combination for the generation of titanium nitride. Beside chemical modifications of titanium surface, the formation of self-organized micro/nanostructures usually observed after irradiation in an ultrashort regime which result in topographic modifications are also of the high interest for wetting properties. Due to nonthermal effects involved in the ultrashort femtosecond processes the normal nitrogen diffusion process, as in the case of nanosecond laser nitriding. The titanium nitride layer produced by the femtosecond pulses most probably corresponds to nitride fall out from the recondensing plasma formed after the laser pulse above the irradiated surface, containing titanium and nitrogen ions and atoms.

3:00 PM PS+SS+TF-WeA-3 Controlling the Thin Film Properties of Silica Synthesised by Atmospheric Pressure-Plasma Enhanced CVD
Fiona Elam, Anna Meshkova (FOM institute DIFFER, Netherlands); Bernadette van der Velden-Schuermans, Sergey Starostin (FUJIFILM Manufacturing Europe B.V.); Richard van de Sanden, Hindrik de Vries (FOM institute DIFFER, Netherlands)

Atmospheric pressure-plasma enhanced chemical vapour deposition (AP-PECVD) is an innovative technology that can be integrated into many existing manufacturing systems to facilitate the mass production of functional films; specifically encapsulation foils. These barrier films are essential to the flexible electronics industry, envisioned to protect devices such as flexible solar cells and organic light emitting diodes against degradation from oxygen and water.

Industrially and commercially relevant roll-to-roll AP-PECVD has been used to deposit silica thin films onto flexible polyethylene 2,6 naphthalate substrates by means of a glow-like dielectric barrier discharge using an air-like gas mixture. Single and bilayer films were evaluated in terms of their encapsulation performance, their chemical structure, the nature of their porosity and their morphology, with respect to the deposition conditions.

It was found that by increasing the plasma residence time and reducing the precursor (tetraethyl orthosilicate (TEOS)) flux, the specific input energy per TEOS molecule could be enhanced, which in turn resulted in the deposition of films with a lower intrinsic porosity. However, an input E/TEOS greater than 9 keV was found to limit the encapsulating performance of single layer barrier films, due to the creation of ~1 μm diameter pinhole defects. This restriction was overcome by the deposition of a semi-porous silica ‘buffer’ layer between the polymer substrate and silica ‘barrier’ layer. The buffer layer within the bilayer architecture acted as a protective coating to prevent excessive plasma-surface interactions that can occur during the harsh processing conditions necessary to generate dense barrier films. As a result, the bilayer films demonstrated exceptionally low effective water vapour transmission rates in the region of 2×10-4 g m-2 day-1, values so far unprecedented for silica encapsulation barriers deposited at atmospheric pressure on flexible polymer substrates. Finally, regarding process throughput for the manufacture of silica thin films capable of protecting flexible solar cells, a 140% increase in processing speed was achieved for bilayer films with respect to 100 nm single layer barriers of equivalent encapsulation performance.

3:20 PM PS+SS+TF-WeA-4 Plasma Information Based Virtual Metrology for Nitride Thickness in Multi-Layer Plasma-Enhanced Chemical Vapor Deposition
Hyun-Joon Roh, Sangwon Ryu, Yunchang Jang, Nam-Kyun Kim, Younggil Jin, Gon-Ho Kim (Seoul National University, Republic of Korea)

Advanced process control (APC) is required to assure the quality and throughput of plasma-assisted process. For this purpose, the process result of all wafers should be measured. However, direct metrology can measure only 1~3 wafers within a lot due to slow time response. To improve the speed of metrology, virtual metrology (VM) is alternatively adopted to support APC. VM can predict the process results close to real-time, since it predicts the process results by using statistical methods based on equipment engineering systems (EES) and sensor variables. However, previously developed VMs face the degradation of prediction accuracy as the chamber wall condition drifts in long-term process. This robustness issue is originated from that the used input variables of VM cannot recognize the drift of chamber wall condition. To enhance the robustness even in a process with severe drift of chamber wall condition, we propose PI-VM that uses plasma information (PI) as input variables of statistical methods. Experimental application of PI-VM is performed to predict the nitride film thickness in multi-layer plasma-enhanced chemical vapor deposition (PECVD) for 3D NAND fabrication which has a severe drift of chamber wall condition. PI variables are composed of the chamber wall condition (PIWall) and property of bulk plasma (PIPlasma) considering plasma-surface interaction. Each PI variable is decomposed from N2 emissions in optical emission spectroscopy (OES) by analyzing them based on optics and plasma physics. Then, PI-VM is constructed by implementing PI and EES variables to partial least squares regression (PLSR). Compared to conventional VM, PI-VM improves the robustness more than twice in long-term variation by implementing PIWall on PLSR. Also, evaluation of the ranking of variables on PI-VM shows that the robustness is improved by decomposing PIWall and PIPlasma from OES based on optics and plasma physics. This result showed that an effective VM model for plasma-assisted process can be constructed by making phenomenological-based, statistical-tuned VM model that recognizes the drift of chamber wall condition and property of plasma separately, based on optics and plasma physics.

3:40 PM BREAK
4:20 PM PS+SS+TF-WeA-7 Sidewall Effects in the Modulation of Deposition Rate Profiles of a Capacitively Coupled Plasma Reactor
Hojun Kim (Samsung Electronics Co. Ltd., Republic of Korea)
In the recent semiconductor industry, plasma-enhanced chemical vapor deposition (PECVD) using capacitively coupled plasma (CCP) is often chosen to coat a thin uniform film with a high production efficiency. Since inside of a CCP reactor, a discharge volume is radially surrounded by a sidewall, the modulation of the sidewall surface can contribute to controlling distributions of plasma variables. In this study, we thus investigate the sidewall effects by varying the electrical condition of the sidewall from grounded to dielectric. In the cases with the dielectric sidewalls, a cylindrical insulator with a grounded exterior surface is adopted, and then its radial thickness is additionally varied from 2 mm to 45 mm. As an example for the particular case of PECVD, SiH4/He discharge during deposition of an amorphous hydrogenated silicon (a-Si:H) film is simulated using a two-dimensional fluid model. The cases with the thick insulators have more uniform distributions of the plasma variables than the case with the grounded sidewall or the case with the thin insulator. An increase of the showerhead radius also improves a distribution uniformity because non-uniformity sources of the plasma distribution are set further away from the electrode edge.
5:20 PM PS+SS+TF-WeA-10 Linear Magnetron Magnetic Field Optimization for HiPIMS Industrialization
Ian Haehnlein, Jake McLain, Baohua Wu, Ivan Schelkanov (University of Illinois at Urbana-Champaign); Brian Jurczyk (Starfire Industries); David Ruzic (University of Illinois at Urbana-Champaign)

High power impulse magnetron sputtering (HiPIMS) has time and time again been proven to provide superior film qualities over direct current magnetron sputtering (DCMS) due to increased ion fraction at the substrate. Throughput however is decreased due to the increase in return of sputtered target material [1]. Work done previously at the Center for Plasma Material Interactions (CPMI) at the University of Illinois Urbana-Champaign by Raman et al. introduced the Tripack for a 4” circular magnetron. This magnet configuration features three distinct racetracks with magnet fields tuned to allow electron escape from the magnetron magnetic field during high power pulses [2]. This was used in a linear magnetron to increase the deposition rate of HiPIMS. McLain et al. has shown using a 5x11” linear magnetron a decrease in the confinement parameter of during DC from 6 using a conventional magnet pack to 3 using the Tripack designed for a linear magnetron. Deposition rates for HiPIMS using Tripack increased deposition rates over the conventional pack by ~25% for copper. In the 1.5kW case the HiPIMS discharge using the Tripack was ~1nm/s greater than that of DCMS using the conventional magnet pack. Triple Langmuir probe measurements at the substrate verified an increase of several orders of magnitude over the conventional pack, supporting the theory that a decreased electron confinement would increase deposition rate, further supported by an increase in ion-neutral fraction at the substrate from ~12% to 35% measured at the substrate surface. Due to non-uniform redeposition on the target surface the, preferentially towards the center, that the outer racetracks eroded faster and subsequentially had stronger magnetic fields at the target surface. The inner racetrack fails to ignite, causing uneven erosion of the target. It is proposed that by creating a serpentine magnet pack which utilizes the reduced confinement parameter seen in the Tripack with only one racetrack as to confine electrons evenly over the target surface. Deposition rates, electron densities, and ion-neutral fractions for the improved magnet pack are provided in this work. These values are presented with and without the use of a positive polarity modified waveform controlling ion deposition energy independent of the substrate for a better controlled deposition on insulators or temperature sensitive materials.

View Supplemental Document (pdf)
5:40 PM PS+SS+TF-WeA-11 Investigating the Effect of the Substrate at Short Deposition Times for Plasma Polymerised Films
Karyn Jarvis, Nicholas Reynolds (Swinburne University of Technology, Australia); Lachlan Hyde (Melbourne Centre for Nanofabrication, Australia); Sally McArthur (Swinburne University of Technology and CSIRO, Australia)

Plasma polymerization modifies surfaces via the deposition of a thin film containing specific functional groups. The organic monomer is introduced into the chamber as a vapour, fragmented via radio frequency and deposited onto all surfaces in contact with the plasma. Plasma polymerization is typically referred to as ‘substrate independent’, but is this true for short deposition times? Does the substrate conductivity, chemistry or roughness influence the early stage deposition of plasma polymer films? In this study, plasma polymerized acrylic acid (ppAAc) and allylamine (ppAA) were deposited onto glass, silicon, gold and fluorinated ethylene propylene (FEP) substrates for deposition times of 10 to 600 seconds. Surface chemistry was investigated using X-ray photoelectron spectroscopy while surface roughness was determined using atomic force microscopy. Film thickness measurements were made by spectroscopic ellipsometry and wettability determined via contact angle measurements. Different contact angle behaviours were observed between the deposition of ppAAc and ppAA films, while different substrates resulted in different contact angle trends for ppAA. For ppAAc films deposited for 10 seconds, all substrates became more hydrophobic (80-100°) and was proposed to be due to ‘island’ film formation, which would increase surface roughness and therefore increase hydrophobicity. For ppAA films deposited for 10 seconds, the contact angles of all substrates except FEP increase/decrease to 60-70° and do not significantly change as deposition time increase. Such behaviour suggests the ppAA films may deposit continuously from the start, unlike ppAAc which may initially form film islands. Unlike all the other substrates, FEP showed the same behaviour for both monomers, suggesting initial island formation for both monomers. These initial results suggest that for short deposition times, the underlying substrate does have some influence on the formation of plasma polymerized films.

Session Abstract Book
(282KB, May 6, 2020)
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule