AVS2017 Session PS+NS+SS+TF-FrM: Atomic Layer Etching II

Friday, November 3, 2017 8:20 AM in Room 23

Friday Morning

Session Abstract Book
(303KB, May 6, 2020)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule

Start Invited? Item
8:20 AM PS+NS+SS+TF-FrM-1 Quasi-Atomic Layer Etching of Silicon Nitride with Independent Control of Directionality and Selectivity
Sonam Sherpa, Peter Ventzek, Alok Ranjan (Tokyo Electron Limited)

Atomic layer etching (ALE) has emerged as a viable approach to address the challenges associated with continuous or quasi-continuous plasma processes. To this end, we previously reported the quasi-atomic layer etching of silicon nitride via sequential exposure to hydrogen and fluorinated plasma. The underlying premise was the surface modification via implantation of hydrogen ions into silicon nitride resulting in an anisotropic etch.

In this talk, we will demonstrate that similar enhancement in reactivity of silicon nitride can also be attained via diffusion of hydrogen atoms into silicon nitride with the resultant etch being isotropic. These results confirm the realization of self-limiting etch of silicon nitride with tunable directionality. This tuning capability is critical for sub-7nm technology node. Illustrations of anisotropic (spacer RIE for self-aligned multiple patterning) and isotropic (spacer RIE for nanowire FET) etch by using this process will also be discussed. Selectivity to oxide is > 100 and damage to underlying silicon can be minimized by optimizing the flux of atomic fluorine during the exposure to fluorinated plasma. Thus, hydrogen plasma controls the directionality while fluorinated plasma step determines the selectivity to oxide and underlying silicon.

8:40 AM PS+NS+SS+TF-FrM-2 WO3 and W Thermal Atomic Layer Etching Using “Conversion-Fluorination” and “Oxidation-Conversion-Fluorination” Etching Mechanisms
Nicholas Johnson, Steven George (University of Colorado at Boulder)

Atomic layer etching (ALE) of metals is important for the controlled removal of many valuable semiconductor materials such as conductors (e.g. W, Cu), metal gates (e.g. Ta, Ti) and metals in magnetic multilayers (e.g. Co, Fe). However, few reports exist for metal ALE using either plasma or thermal processes. Conventional thermal ALE that has defined recent work on metal oxide [1] and metal nitride [2] materials does not work for metals. New reaction pathways are required to etch metals. This study targets W ALE and examines both WO3 ALE and W ALE as W oxidation to WO3 is needed to define self-limiting reactions for W ALE.

WO3 ALE was demonstrated using an AB exposure sequence with boron trichloride (BCl3) and hydrogen fluoride (HF). BCl3 and HF etch WO3 by a “conversion-fluorination” mechanism. The BCl3 converts the WO3 surface to a B2O3 layer while forming volatile WOxCly. HF then spontaneously etches the B2O3 layer producing volatile BF3 and H2O products. WO3 films were formed by oxidizing W ALD films with an oxygen plasma at 280oC. In situ spectroscopic ellipsometry (SE) studies determined that the BCl3 and HF reactions were self-limiting versus exposure. WO3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128°C to 4.19 Å/cycle at 207°C. W served as an etch stop because BCl3 and HF could not etch the underlying W film.

W ALE was performed using a three-step “oxidation-conversion-fluorination” mechanism. This is an ABC exposure sequence that where the W surface is first oxidized to a WO3 layer and then the WO3 layer is etched with BCl3 and HF. SE could simultaneously monitor the W and WO3 thicknesses and conversion of W to WO3. Oxidation of the W surface was performed using O3. SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each part of the ABC process. The etch rates for W ALE were 2.4 Å/cycle at 207°C. An oxide thickness of ~20Å remained during W ALE, but could be removed with BCl3/HF without affecting the W layer.

[1] Younghee Lee, et al., “Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions”, Chem. Mater. 28, 2994-3003 (2016).

[2] Nicholas R. Johnson, et al., “Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting HF and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas”, J. Vac. Sci. Technol. A 34, 050603 (2016).

9:00 AM PS+NS+SS+TF-FrM-3 Solving the Grand Challenges of Plasma Etch with Concurrent Engineering
Mingmei Wang (TEL Technology Center, America, LLC); Peter Ventzek, Alok Ranjan (Tokyo Electron Limited)

A consequence of multiple patterning approaches enabling Moore’s Law scaling to continue through 10nm to 7nm and beyond is that plasma dry etch process faces unprecedented challenges. “Scaling” of plasma etch to seemingly impossible capabilities is the key to meeting Moore’s Law scaling. For example, etch process must achieve extremely high (almost infinite) selectivity in applications where self aligned patterning schemes are involved. Etch process is also required to achieve less than half nanometer (atomic scale) CD variations across 300mm wafers including the important “extreme edge” area as small as 2mm exclusion. These are but two examples of etch grand challenges. While the process requirements push the hardware design to the limits, understanding of process mechanisms becomes the most critical bottleneck to explore process regimes that are able to satisfy the most challenging patterning requirements. In fact, without process understanding at the atomic scale, it is difficult to imagine a means to innovate hardware designs.

In this talk, we will discuss concurrent engineering approaches including both modeling and experiment to understand and develop etching processes that meet grand challenge requirements. The core of the approach is an integrated chamber scale HPEM (Hybrid Plasma Equipment Model)-feature scale MCFPM (Monte Carlo Feature Profile Model) model [1]. The concurrent engineering approach comprises stages of development and prediction capability tests using both blanket wafer and patterned stack data and finally process parameter optimization. By using this approach, we are able to provide insights on how to resolve grand challenges in plasma etch with a minimum of engineering resources. The presentation will survey both experimental and computational results representing a few case studies in SAC quasi-ALE [2], Si ALE, organic etch CD uniformity, and LER/LWR improvement in EUV resist patterned sample etch. Furthermore, insights into the relationship between chamber function and critical surface interactions will be discussed.

[1] M.Wang and M.Kushner, J. Appl. Phys 107, 2010.

[2] M.Wang, P. Ventzek, A. Ranjan, J. Vac. Sci. Technol. A 35, 2017.

9:40 AM PS+NS+SS+TF-FrM-5 Effect of Non-Uniform Polymer Deposition on the Atomic Layer Etching of 3D Features in SiO2
Chad Huard (University of Michigan); Yiting Zhang, Saravanapriyan Sriraman, Alex Paterson (Lam Research Corporation); Mark Kushner (University of Michigan)

Atomic layer etching (ALE) typically separates the etch process into (at least) two self-limited steps, repeated cyclically – a passivation and an etch step. To obtain all of the benefits of ALE, each of the steps should be fully self-limited, and produce no continuous etching during either step. Only by the synergy between the two steps being repeated cyclically is atomic etching achieved. ALE etching mechanisms have been demonstrated for several materials (e.g., Si, Ge) that do not involve thick passivation layers. ALE-like etching has also been demonstrated for SiO2 and Si3N4, however with the etching mechanisms for these materials relying on the non-self-limited deposition of a polymer layer, it is more difficult to obtain the full benefits of ALE in these systems.

To investigate the benefits and limitations of using an ALE-like pulsing scheme for etching SiO2, a representation of the through-polymer etching mechanism of SiO2 in Ar/C4F8/O2 plasmas was developed and implemented into the 3-dimensional Monte Carlo Feature Profile Model (MCFPM). The model includes diffusion of radical atomic species (F and O) through the polymer capping layer and ion-energy activated reactions at the SiO2/polymer interface stimulated by ions implanting through the polymer capping layer. These processes allow for the simulation of SiO2 (and Si) etching through a finite thickness of polymer. The model reproduces systematic trends for selectivity and etch rates as a function of polymer thickness observed for continuous etching.

Results from the model suggest that the non-self-limited nature of the polymer deposition step can limit the benefits of applying ALE techniques to SiO2, particularly in 3D features. The balance of polymer deposition by radical CFx species and erosion by F radicals is subject to neutral transport issues and so are more sensitive to geometry and aspect ratio than for fully self-limited passivation, as occurs in ALE of Si using, for example, Cl2 containing gases. The reactive sticking coefficients of CFx radicals on the polymer surface depends, in part, on ion generated dangling bonds which can result in a non-uniform polymer thickness in 3D features. The etch depth per cycle (EPC) was found to depend on polymer thickness, introducing non-uniformity and aspect ratio dependent etch rates in 3D features during ALE. Methods for mitigating the dependence of EPC on polymer thickness using carefully controlled ion energies and the introduction of O2 will be discussed.

Work was supported by Lam Research Corp., DOE Office of Fusion Energy Science and the National Science Foundation.

10:00 AM PS+NS+SS+TF-FrM-6 Etching with Low Te Plasmas
Scott Walton, David Boris, Sandra Hernández (Naval Research Laboratory); Samantha Rosenberg (ASEE Postdoctoral Fellow, NRL); Hiroyuki Miyazoe, Ashish Jagtiani, Sebastian Engelmann, Eric Joseph (IBM T.J. Watson Research Center)
Processing with atomic layer precision requires the ability to not only add, remove or modify one monolayer of material but to also leave adjacent layers unchanged. This requires fine control over the flux of species and energy deposition at the surface. The appropriate threshold and process windows are certainly material specific but it is reasonable to assume many applications require low energy ions. Electron beam-generated plasmas are generally characterized by high charged particle densities (1010- 1011 cm-3), low electron temperatures (0.3 - 1.0 eV), and in reactive gas backgrounds, a relatively low radical production rate compared to discharges. The flux at the surface will thus be characterized by a comparatively large amount of ions whose energies are < 5 eV, a value commensurate with the bond strength of most materials. Ion energies can be raised with substrate biasing, which makes these sources well-suited to meet the needs of energy requirements for precise, selective etching. In this work, we discuss SiN etching using pulsed, electron beam generated plasmas produced in SF6 backgrounds. We pay particular attention to the etch rates, selectivity (vs. carbon films, Si and SiO2), and patterning as function of operating parameters such as relative gas concentration, operating pressure, and substrate bias. These results are compared with plasma diagnostics to gain a better understanding of the process requirements and windows for threshold etching of SiN. This work is partially supported by the Naval Research Laboratory base program.
10:20 AM PS+NS+SS+TF-FrM-7 Thermal Atomic Layer Etching of Titanium Nitride Using Sequential, Self-Limiting Oxidation and Fluorination Reactions
Younghee Lee, Steven George (University of Colorado at Boulder)

Titanium nitride (TiN) is an important conducting material as a copper diffusion barrier and a gate electrode in semiconductor devices. Previous thermal atomic layer etching (ALE) studies have shown that TiN was not etched using fluorination and ligand-exchange reactions [1]. These results suggest that the ligand-exchange reactions do not produce stable and volatile reaction products.

In this work, a new etching mechanism based on sequential, self-limiting oxidation and fluorination reactions was developed for thermal TiN ALE. The oxidation reactant was either O3 or H2O2. The fluorination reactant was hydrogen fluoride (HF) derived from HF-pyridine. In the proposed reaction mechanism, the O3 reaction oxidizes the surface of the TiN substrate to a TiO2 layer and produces gaseous products such as NO. HF exposure to the TiO2 layer then yields TiF4 and H2O as volatile reaction products. The overall reaction can be written as: TiN + 3O3 + 4HF → TiF4 + 3O2 + NO + 2H2O.

Quartz crystal microbalance experiments showed that HF can spontaneously etch TiO2 films. Spectroscopic ellipsometry and x-ray reflectivity analysis showed that TiN films were etched linearly versus the number of ALE cycles using O3 and HF as the reactants. The etch rate for TiN ALE was determined at temperatures from 150 to 350°C. The etch rates increased with temperature from 0.06 Å/cycle at 150°C to 0.20 Å/cycle at 250°C and stayed nearly constant for temperatures ≥250°C

The thermal ALE of many other metal nitrides should be possible using this new etching mechanism based on oxidation and fluorination reactions. This thermal ALE mechanism should also be applicable to metal carbides, metal sulfides, metal selenides, and elemental metals that have volatile metal fluorides.

[1] Y. Lee, C. Huffman and S.M. George, “Selectivity in Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions”, Chem. Mater. 28, 7657 (2016).

10:40 AM PS+NS+SS+TF-FrM-8 Atomistic Simulations of H2 Plasma Modification of SiN Thin-Films for Advanced Etch Processes
Vahagn Martirosyan, Emilie Despiau-Pujo, Olivier Joubert (LTM, Univ. Grenoble Alpes, CEA-LETI, France)

Due to high ion bombardment energies and significant fragmentation rates, conventional continuous wave (CW) plasma processes are not able to selectively etch ultra-thin films without damaging the active layers of advanced nanoelectronic devices. In particular, silicon nitride or low-k spacers etching must be performed with nanoscale-precision without creating defects to the underlayer substrate, to preserve device performances and be compatible with epitaxial steps. To solve this problem, one possible alternative is to use a recently developed etch technology, which consists of two steps [1]. In the first step, the material to be etched is exposed to a hydrogen (H2) or helium (He) ICP or CCP plasma; in the second step, the modified material is chemically etched by wet cleaning or exposure to gaseous reactants only.

Due to the complexity of plasma-material interactions, the successful development of such a new etch approach requires a more detailed understanding of the fundamental mechanisms involved in the process. Therefore, we develop Molecular Dynamics (MD) simulations to study the Si-N-He and Si-N-H systems and provide an overview of the reaction processes at the atomic scale. The objective is to understand the role of ion energy in the self-limited ion implantation, and to determine the relationship between the flux/energy of plasma species bombarding the surface and its chemical/structural modifications.

In this work, we investigate the interaction between hydrogen plasma species (Hx+ ions and H radicals) and silicon nitride via MD simulations. We first study the impact of ion energy (5-100eV), ion dose and ion type on a SiN substrate only exposed to ion bombardment. Then, the influence of a mixed exposure to both Hx+ ions and atomic H is investigated to observe how the hydrogen plasma composition will affect the SiN substrate modification. For pure ion bombardment conditions, simulations show an initial Hx+ ion implantation followed by the formation of a stable modified layer at steady state. Few or no SiN etching is observed for ion energies >25eV, which shows that hydrogen ions only induce a volume transformation and can modify the SiN substrate on a precise depth without etching it. By contrast, simulations of mixed ion/radical bombardment show that a high concentration of atomic hydrogen can crucially change the evolution of the substrate, since H radicals are able to slowly etch SiN along with the modification caused by Hx+ ions. Mechanisms of aforementioned phenomena, as well as comparison with experiments, will be discussed during the presentation.

1. N. Posseme, O. Pollet, S. Barnola, Applied Physics Letters 105, 051605 (2014)

11:00 AM PS+NS+SS+TF-FrM-9 Defectless Nanostructure Patterning of Germanium Using Neutral Beam Etching for Ge FinFET Devices
Shuichi Noda (Tohoku University, Japan); Wataru Mizubayashi, Kazuhiko Endo (AIST, Japan); Seiji Samukawa (Tohoku Univeversity, AIST, Japan)

Germanium FinFET has been becoming a promising candidates for highly scaled CMOS FETs due to large carrier mobility. However, etching mechanisms of Ge and optimization of etching method have not investigated deeply. We have already succeeded to apply a neutral beam etching (NBE) method to Si FinFET fabrication processes and shown excellent device performances owing to low-damage properties of NBE [1]. Since Ge is much more unstable material to apply to FET devices than Si, there must be much more advantages to use NBE method.

The NBE system consists of an inductive coupled plasma (ICP) source and a carbon aperture plate (neutralization plate) on which enormous number of high aspect ratio aperture holes are opened. Positive and negative chlorine ions generated in a pulse time modulated ICP are accelerated toward the aperture plate and effectively converted to the neutral beam by applying rf field on the aperture plate. Etching substrates beneath the aperture plate are etched mainly by directional chlorine neutral beams without any risky matters such as charged particles (electrons and ions) and irradiation of vacuum ultra-violet (VUV) light. We consider that the VUV irradiation has notable effect on the etching characteristics and defect generation that influences device performances.

We compared etching characteristics of Ge between the NBE and a plasma etching using the same ICP source. It was found that the Ge etching rate of NBE was about one order of magnitude smaller than that of the plasma etching. We consider that such a large differences is caused by surface defects induced by the VUV irradiation. Under the VUV irradiation, surface defects, that is high density dangling bonds of Ge, are created and the defect sites react with chlorine radicals actively and the chlorinated layer are etched off by the ion bombardment in the case of the plasma etching. On the other side, the Ge surface exposed to the neutral beam seems to be chlorinated more slowly and probably the chlorinated layer is much thinner than the plasma-like conditions. And the etching reaction occurs by the chlorine neutral atom beam bombardment. This smaller etch rate around 20 nm/min seems a little bit inefficient however it can be said this small etch rate is much more useful characteristics for the nanometer scale low damage etching processes. AFM observation showed that the etched surfaces by NBE were much smoother than that by the plasma etching. Etched side wall (to be Ge channel surface) by NBE were also very smooth and vertical. High magnification TEM images showed substantially atomic revel smooth side wall.

[1] K. Endo et al., IEDM Tech. Dig. (2005) pp. 840-843.

11:20 AM PS+NS+SS+TF-FrM-10 Thermally-Driven Atomic Layer Etching of Metallic Tungsten Films Using O2 and WF6
Wenyi Xie, Paul Lemaire, Gregory Parsons (North Carolina State University)

The semiconductor industry is facing the challenge of manufacturing transistor devices with sub-10 nm high aspect ratio features. Understanding and developing self-limiting etching processes that allow precise control over the thickness of materials removed is essential for enabling the manufacturing complex transistor structures. In this work, we investigated chemical vapor etching of tungsten films using oxygen (O2) as the oxidant source and tungsten hexafluoride (WF6) as the etchant.

We propose that etching of tungsten proceeds in two steps: 1) oxidation of the tungsten film to form WOx surface species and 2) formation and removal of volatile metal fluoride species upon reaction with WF6. Using quartz crystal microbalance (QCM), we found that the oxidation step with O2 is required for etching to occur during WF6 exposure. In addition, etching of O2 treated tungsten films showed saturation towards WF6 exposure. This indicates that etching of tungsten using oxygen and WF6 is a self-limiting process, making it promising as an atomic layer etching process. QCM results also showed that the rate of etching depends on the temperature. Minimal amount of etching was measured at temperatures less than 275 °C. Ex-situ characterization techniques were applied to analyze the etching of tungsten films deposited on SiO2 substrates. Scanning electron microscopy (SEM) results revealed the change in morphology of tungsten films after different number of O2-WF6 ALE cycles. The tungsten film on SiO2 started out as a coalesced film, which transformed into disjointed nuclei, and the nuclei appeared completely removed as the number ALE cycle increased. Lastly, X-ray photoelectron spectroscopy (XPS) analyses further confirmed etching of tungsten film and showed a minimal amount of fluorine remained on the surface after the O2-WF6 ALE process.

Session Abstract Book
(303KB, May 6, 2020)
Time Period FrM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2017 Schedule