AVS2017 Session TF-ThP: Thin Films Poster Session

Thursday, November 2, 2017 6:30 PM in Room Central Hall

Thursday Evening

Session Abstract Book
(420KB, May 6, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2017 Schedule

TF-ThP-1 Hydrogen Bond Mediated Supramolecular Self-Assembly To Direct Thin Film Morphology For Organic Electronic Applications
Daken Starkenburg (University of Florida)

Phthalhydrazide, barbiturate, guanine, and diaminotriazine hydrogen bonding units have been integrated into pi-conjuagated oligomers. The addition of hydrogen bonding units on to one or both ends of the oligomers may be used to guide their self-assembly into optimized 2D and 3D morphologies for efficient charge separation and extraction in OPV devices. Scanning tunneling microscopy revealed several possible 2D ordered structures for vacuum deposited ditopic oligomers with diaminotriazine units dependent on processing conditions. Methyl and octyl chains were also added to the hydrogen bonding oligomers which led to easier film processing and the capability for designed modifications of the 2D structure. Furthermore, previously reported small molecules are currently being modified to integrate hydrogen bonding into a monotopic design in an effort to optimize 3D morphology and demonstrate high power conversion efficiencies for organic solar cells.

TF-ThP-5 Indium Doped ZnO Nanopowders Synthesized by MW-HTS and their Physical Characterization
Mujdat Caglar (Anadolu University, Turkey); Kamuran Gorgun (Eskisehir Osmangazi University, Turkey); Seval Aksoy (Sinop University, Turkey); Saliha Ilican, Yasemin Caglar (Anadolu University, Turkey)

Zinc oxide (ZnO) are currently of great importance mainly as, transparent conductive electrodes, in solar cells devices, inorganic light emitting diodes, such as UV light emitting diodes, UV lasers and blue luminescent devices, photodetectors, gas sensors etc. The microwave synthesis is a synthesis method which is entirely different from the other methods. In the microwave method, a microwave diffuses to the material being heated volumetrically. In this study, Indium (In) doped ZnO nanopowders were synthesized via microwave assisted hydrothermal synthesis (MW-HTS) using zinc acetate dihydrate, indium acetate hydrate and sodium hydroxide. The effect of In dopant on the structural and morphological properties of ZnO nanopowders was investigated. To investigate the crystalline structure and the orientation of the doped ZnO nanopowders, XRD patterns were used. The lattice parameters and texture coefficient values of these nanopowders were determined. Field emission scanning electron microscope (FESEM) was used to analyze the surface morphology of the In doped ZnO. The presence of functional groups and the chemical bonding due to In doping are confirmed by Fourier transform infrared spectra (FTIR). Acknowledgements: This work was supported by Anadolu University Commission of Scientific Research Projects under Grant No. 1402F055 and 1705F259.

TF-ThP-6 Effect of Erbium on the Structural and Morphological Properties of ZnO Films by MW-CBD and its Application in Heterojunction
Yasemin Caglar (Anadolu University, Turkey); Kamuran Gorgun (Eskisehir Osmangazi University, Turkey); Seval Aksoy (Sinop University, Turkey); Mujdat Caglar, Saliha Ilican (Anadolu University, Turkey)

The doped zinc oxide (ZnO) films have attracted much attention because of their great potential for application to transparent conducting electrodes and insulating or ferroelectric layers in optoelectronic devices. Since ZnO has a wide bandgap energy of 3.37 eV at room temperature, its nanocrystals are suitable host materials for doping elements such as rare-earth (RE) and transition metal (TM) ions which are optically and magnetically active. RE doped ZnO nanocrystals are a potential candidate material for flat panel display phosphors due to efficient emission in the visible range, and different activators can be used to modify the color of luminescence. In this study, Erbium (Er) doped ZnO (ZnO:Er) films were deposited by microwave-assisted chemical bath deposition (MW-CBD). The structural and morphological properties of the films were investigated. Zinc nitrate hexahydrate was used as starting materials. The dopant source is Erbium (III) nitrat pentahydrate. The crystal structure and orientation of the films were investigated by X-ray diffraction (XRD) method. The films have the polycrystalline structure. Surface morphology of the films was also investigated by a scanning electron microscope (SEM). The p-Si/n-ZnO:Er heterojunctions were fabricated and their electrical properties were carried out in dark at room temperature. They were exhibited rectifying behavior by using thermionic emission theory. The important junction parameters such as ideality factor, barrier height and series resistance were systematically analyzed by the current-voltage (I-V) curves. Acknowledgements: This work was supported by Anadolu University Commission of Scientific Research Projects under Grant No. 1402F055 and 1705F283.

TF-ThP-7 Influence of Fluorine Incorporation on Structural and Optical Properties of ZnS Films
Tulay Hurma (Anadolu University, Turkey)
ZnS has a wider band gap than other conventional II–VI semiconductors. Fluorine has a smaller atomic radius than both sulfide and zinc. ZnS and fluorine doped ZnS films were produced my means of ultrasonic spray pyrolysis (USP) method by spraying on glass substrates heated up to 340±5 ◦C. XRD and Raman spectroscopy were used to determine the crystalline formation and phase contents of these films and investigated the effect of fluorine having smaller atomic radius on both the structural and optical properties of the ZnS film. In addition to Raman spectroscopy, FTIR spectroscopy was used to detect the vibrations of atoms in the molecule. In the scope of morphological properties, SEM analysis was used and these images showed that the incorporation of fluorine in ZnS lattice caused important effects on the surface morphology and particle size. Optical absorption and reflectance spectra of the films that taken between 200 and 900 nm wavelengths were used to calculate the extinction coefficient, refractive index, dielectric constant and average refractive index values. The optical band gap and Urbach energy values of these films were determined depending on the fluorine incorporation.
TF-ThP-8 WO3/Ag Electrochromic Multilayer Film by RF Magnetron Sputtering
Chao-Te Lee (Instrument Technology Research Center, National Applied Research Laboratories, Taiwan, Republic of China); Po-Kai Chiu, Donyau Chiang (Instrument Technology Research Center, National Applied Research Laboratories); Wei-Chun Chen (Instrument Technology Research Center, National Applied Research Laboratories, Taiwan, Republic of China); Jing-Han Xie, Chang-Chung Jaing (Department of Optoelectronic System Engineering, Minghsin University of Science and Technology)

In this work, the novel electrochromic WO3/Ag multilayer film on ITO glass were designed and made by RF magnetron sputtering at room temperature. The effects of Ag film on the microstructure and optical properties of the WO3/Ag multilayers were examined by field emission scanning electron microscopy, X-ray diffraction (XRD) and spectrometer. The average transmittance in the range from 400 to 700 nm of WO3/Ag multilayer films was slight increased from 81.13 (WO3/ITO glass) to 84.74%. The electrochromic characteristics of WO3/Ag multilayer films were investigated by the bleaching and colored WO3/Ag multilayer film. The variation of average transmittance in the visible range of bleaching and colored WO3/Ag multilayer film is above 65%. Particularly, the average transmittance in the range from 800 to 1000 nm of WO3/Ag multilayer film was greatly decreased from 74.21(WO3/ITO glass) to 1.59%. We found that novel WO3/Ag multilayer film is suitable or electrochromic and hot mirror application.

TF-ThP-9 Crystalline Quality and Surface Roughness Optimization of Hetero-Epitaxial Titanium Nitride on Sapphire
Hadley Smith (University of Dayton); Amber Reed (Air Force Research Laboratory); Said Elhamri (University of Dayton); Brandon Howe, Lawrence Grazulis, Madelyn Hill (Air Force Research Laboratory)
In this project we optimized the growth of hetero-epitaxial titanium nitride (TiN) on sapphire using controllably unbalanced reactive magnetron sputtering. TiN is a mechanically-robust, high-temperature stable metallic material; these properties make TiN a material of interest for robust electrodes and resilient plasmonics. We adjusted deposition parameters such as external coil current, temperature, growth time and magnetron power to optimize the crystalline quality and surface morphology of TiN. Post-growth, we measured crystallinity using X-ray diffraction, and surface morphology using atomic force microscopy. X-ray diffraction showed a single TiN peak with pendellösung fringes; from these fringes we obtained a film thickness of ~55 nm. Atomic force microscopy showed a surface roughness of ~111 pm. Based on this characterization, we determined that the deposition parameters outlined in this presentation yielded (111)-oriented hetero-epitaxial TiN with minimal surface roughness. This optimization is a crucial first step in maximizing the usefulness of TiN for plasmonic applications.
TF-ThP-11 Water Repellency or Hydrophilicity of the PTFE Irradiated by an Ar+Ion Beam
Yuki Yamashita, Ichiro Takano (Kogakuin University, Japan)

Recently, the application of polytetrafluoroethylene (PTFE) has spread to various fields. PTFE is a macromolecular material that has repetition of two fluorine atoms combined to a carbon atom. Because these atoms are strongly combined, PTFE has various excellent characteristics such as heat-resistant, chemical stability, low friction, etc. The significant characteristic among them is the high water-repellency which is well known as the coating of a cookware. The improvement of the water-repellency or hydrophilicity has been carried out by using the ion beam or the plasma treatment. In the case of the ion beam, the both properties of PTFE are able to be controlled by suitable ion beam energy.

Higher water-repellency of PTFE is required in a field such as a medical equipment, while higher hydrophilicity is anticipated to adhere between PTFE and the different material. Improvement of water-repellency and hydrophilicity are caused by the physical change of the surface morphology and by the chemical change of the molecular structure respectively.

In this study, the PTFE substrates of two types were used. Those are the adhesive tape type with 0.1mm in a thickness (T-PTFE: Chukoh Chemical Industries, Ltd.) and the sheet type with 1mm in a thickness (N-PTFE: NICHIAS Co.). Water-repellency or hydrophilicity of PTFE irradiated by an Ar+ ion beam was investigated by the θ/2 method. The irradiated ion species were Ar+ ions with 10kV in an acceleration voltage at 40 μA/cm2 in a current density. Dependence of the PTFE substrate temperature was investigated at a range from -50 to 50 degrees using the control system of the liquid nitrogen cooling and the heater heating. Dependence of the irradiation time was changed from 0 s to 30 s in a room temperature. The surface morphology was observed by the laser microscope (OLS4500: Shimadzu Co.) and the SEM (JSM5310: JEOL Ltd.). The surface chemical state was measured using an X-ray photoelectron spectrometer (ESCA-K1s: Shimadzu Co.) .

In the case of the T-PTFE temperature dependence, the surface morphology changed hardly at -50 degrees, while the needle-like structure was clearly observed in the substrate temperature of 50 degrees. It was considered that the surface morphology was strongly influenced by the substrate temperature than by sputtering of the ion beam. From the XPS measurement, it was confirmed that F atoms were selectively sputtered at -50 degrees as showing the low contact angle, while the low contact angle was showed in the lower irradiation time of T-PTFE. It was considered that water-repellency and hydrophilicity of PTFE were influenced by the PTFE substrate temperature during the ion beam irradiation.
TF-ThP-12 Optical Chemical Sensors for the Detection of Taggants in Explosives
Sarka Havlova, Premysl Fitl, Martin Vrnata, Eva Maresova, Jan Vlcek, David Tomecek, Jan Herbst (University of Chemistry and Technology Prague, Czech Republic)

In this work, we present optical gas sensors with thin film of polymer as an active layer for the detection of taggants in explosives. The thin films of polymeric active layers based on poly(methyl methacrylate) (PMMA), polystyrene (PS) and low density polyethylene (LDPE) were prepared on lapped silicon substrates by spin coating with thicknesses in a range of hundreds nanometers (100 - 500 nm). These films were exposed to taggants' vapors such as 2-nitrotoluene (2-NT), 4-nitrotoluene (4-NT) and 2,3-dimethyl-2,3-dinitrobutane (DMNB) and measured in a range of their concentration 1 - 1000 ppm. Changes of refractive index were observed compared to reference atmosphere - synthetic air. The change of refractive index and layer thickness appears due to a swelling of the polymeric film in present of analyte vapors.

Thin films of polymers were subsequently doped with organic luminescent agents (metal phthalocyanines) and inorganic nanoparticles of rare earths (holmium oxide) and prepared with similar thicknesses. Soluble sulphonated metal phthalocyanines were dissolved and mixed with polymer solution, thin films were then prepared by spin coating. Insoluble phthalocyanines were evaporated under high vacuum conditions on the top of polymeric layer and localized laser melting of polymer was used for submerging of phthalocyanine molecules into the polymer matrix. These luminescent films were also exposed to vapors of taggants and changes in UV-VIS absorption spectra and luminescence spectra were detected. The influence of toluene was also evaluated for a comparison of detection properties with a substance similar to selected taggants.

The results suggest that gas sensors with these sensitive layers and based on connected optical techniques such as a measurement of luminescence, absorption and refractive index are very promising for the detection of taggants in explosives.
TF-ThP-13 The Effect of e-gun Deposition Process Variables on the Film Characteristics of the Chromium Oxide
Po-Kai Chiu (National Applied Research Laboratories, Taiwan, Republic of China); Yi-Ting Liao, Hung-Yin Tasi (National Tsing Hua University, Taiwan, Republic of China); Donyau Chiang (National Applied Research Laboratories, Taiwan, Republic of China)
The film characteristics and optical properties of the CrOx films prepared by the e-gun deposition with the different process variables are investigated. The process variables include the various oxygen flow rates, applied substrate temperatures to 200oC, and with or without Ar and O2 ion-assisted deposition. The optical constants of the deposited films with different process variables are determined from the reflectance and transmittance measurements obtained using spectrophotometer ranged from 350 nm to 2000 nm. The microstructures of the films are examined by the XRD, SEM, and XPS. The electrical conductivity is measured by four-point probe instrument. All the prepared films are amorphous without the significant pillar structure. The optical and electrical properties are illustrated by the analyzed XPS results with the ratio of the contribution of the free electrons to ion bonds in the deposited CrOx films. The major process variable to possess high extinction coefficient and low reflectance is the oxygen flow rate during the CrOx film deposition without ion-assisted deposition in the study.
TF-ThP-14 Fabrication of High-period-number Resonant Transition Radiation Emitters for Generation of Femto-second Hard X-rays
Polly Wang (National Tsing-Hua University, Taiwan, Republic of China); Chao-Te Lee (National Applied Research Laboratories, Taiwan, Republic of China); An-Ping Lee (National Synchrotron Radiation Research Center, Taiwan, Republic of China); Keh-Chyang Leou (National Tsing-Hua University, Taiwan, Republic of China); Wai-Keung Lau (National Synchrotron Radiation Research Center, Taiwan, Republic of China)

Femtosecond resonant transition radiation (RTR) in x-ray region can be generated from alternatingly stacked multilayer structures when they are driven by relativistic ultrashort electron beams. In contrast to large-scale facility like x-ray free electron lasers (XFELs), compact x-ray sources of moderate peak brightness can be developed by using this method for some ultrafast scientific research. These structures can be fabricated by coating layer pairs of high and low density materials. Narrow-bandwidth x-rays can be generated by increasing the number of periods (i.e. the number of layer pairs). Thanks to the high transmittance of hard x-ray in most materials, the radiation bandwidth is not limited by x-ray absorption up to dozens or even hundreds of layers. In this report, we present our efforts on the development of a femtosecond narrow-band 12 keV x-ray source by driving high-period-number RTR emitters with the NSRRC photoinjector linac system which is operating in short bunch mode. Since the optimum thickness for one emitter period is of several hundred nanometers, total thickness of an emitter can be as thick as few tens of μm. Sputtering is considered as an appropriate process to fabricate these structures with reliable quality in reasonable time. The fabrication process of making such emitters that are composed of Mo/Si multilayers is under test. Structures of 60 periods (120 layers) have been fabricated. The estimated photon yield is about 3x104 for a 100 pC drive beam. A portion of x-ray generated from multi-layer structure would be absorbed by the Si substrate of the emitter, so removing the substrate would be helpful to enhance photon flux. The process to remove the substrate is also discussed.

Acknowledgement

Work supported by the Ministry of Science and Technology, ROC (Taiwan).

TF-ThP-16 Materials and Methods for Bottom-Up Semiconductor Device Manufacturing by Selective Surface Modification
Reuben Chacko, Joyce Lowes, Jinhua Dai, Shannon Brown, Daniel Sweat (Brewer Science, Inc.)

In order to extend Moore's Law, device makers are looking at bottom-up approaches as an alternative for semiconductor device manufacturing. Recently, the variation in photoresist component distribution that is seen when scaling to sub-20-nm features has highlighted the need for very controlled and uniform distribution of materials. Bottom-up approaches to lithography patterning are able to address these stochastic issues, along with also addressing pattern roughness, excessive lithography steps, and others. We present novel materials and processes that enable selective surface modification with semiconductor device manufacturing as the end application. These materials are able to selectively deposit on various substrates, such as oxide, nitrides, metals, or even organic films. Properties such as selective deposition, catalysis, selective handles for further modification, ALD modifiers, and etch modifiers are reported.

TF-ThP-17 Effects of the Electric Field Application for the Photocatalytic Property of TiO2/Nithin Films
Taishi Segawa, Ichiro Takano (Kogakuin University, Japan)

In recent years, titanium oxide has attracted attention for its various properties and has been studied in a wide application field such as solar cells or medical instruments. Particularly the photocatalytic effect of TiO2 produces antifouling, antibacterial action or decomposing environmental pollutants such as nitrogen oxide because the photocatalytic reaction of TiO2 generates the active species of superoxide anion or hydroxyl radical by using the energy of ultraviolet rays. One of the reasons why TiO2 is the superior material as a photocatalyst is that the photo-excited state is very stable and does not cause autolysis. Therefore TiO2 irradiated with ultraviolet rays can make the electrolysis of water stably proceed. On the other hand, the weak point of TiO2 is that the absorption wavelength is limited to the ultraviolet region under 380 nm. Therefore, many researchers have studied to improve the efficiency of the light reaction of TiO2. The distinctive point of our study is the energy supply of electricity during the light irradiation.

In this study, the glass substrates of 15×9 mm cleaned by an ultrasonic cleaner with acetone for 5 minutes were used. The TiO2/Ni films were prepared by the multi-process coating apparatus with magnetron sputtering sources. The TiO2 thin film preparation was carried out by sputtering a Ti target introducing an Ar and an O2 gas. An Ar gas and an O2 gas flow rate were set to 1.5 sccm and 20 sccm, respectively. The glass substrates were heated to 200 degrees by an infrared heater. The film thicknesses of TiO2 and Ni were changed with 0 - 100 nm to investigate the suitable condition.

The crystal structure of each thin film was analyzed by X-ray diffraction. Optical properties were measured using a UV-Visible spectrophotometer. The photocatalytic properties were measured by the methylene-blue immersion test under irradiation of the artificial sunlight (visible light) and the sterilizing lamp. The change of the methylene-blue transmittance was measured with a spectrophotometer at leaguer intervals.

In the case of the artificial sunlight irradiation, the methylene-blue transmittance of the TiO2/Ni (100/50 nm) film without the electric field application was 7 %, while that with the electric field application showed 45 %. Also in the case of irradiation with sterilizing lamp, the transmittance of the sample with the electric field application was 1.6 times as compared with that without the electric field application. The photocatalytic effect was improved by the electric field application during the light irradiation. The mechanism of effects by the electric field application to the sample will be examined in future.
TF-ThP-18 Investigations of Temperature and Humidity Sensors Constructed by Oxide Thin Films
Takahisa Kawaguchi, Ichiro Takano (Kogakuin University, Japan)

Currently commercial temperature and humidity sensors have a low sensitivity for both sides of a high and a low humidity. On the other hand, because the main parts of these sensors are manufactured using polymer materials, there is the problem of a short life in environments such as a high temperature or a high humidity. Therefore, the next-generation type sensors are required in a longer life and a higher sensitivity.

As a material satisfying some of the above-mentioned functions, TiO2 was adopted in our study. TiO2 is an n-type oxide semiconductor and has the stable photo-excited state as the material without autolysis. In addition, TiO2 shows the hydrophilicity under ultraviolet light irradiation. The next-generation type sensor with a higher sensitivity is promised by constructing some oxide layers such as a TiO2 layer or a Cu2O (p-type oxide semiconductor) layer.

In this study, basic investigations of TiO2 and Cu2O thin films prepared by reactive magnetron sputtering were carried out about those physical properties and the multi-layer thin films were constructed to improve the sensitivity of temperature and humidity on sensor characteristics. The TiO2/Cu2O thin film with each layer of 100 nm in a thickness and the TiO2/Cu2O/TiO2/Cu2O thin film with each layer of 50 nm in a thickness were prepared by reactive magnetron sputtering.

The electric resistance of each sample was measured by changing the voltage from 0 V to 10 V. The resistance changes for temperature and humidity were measured about a range of the temperature from 25 degrees to 60 degrees and about a range of the humidity from 30 % to 60 %. Furthermore the water contact angle was measured by the q/2 method to investigate the relationship to humidity. In these experiments, the photoreaction on a semiconductor characteristic was examined by irradiating the White-LED light or UV-LED light to the sample surface.

The photo-excitation reaction of the TiO2/Cu2O thin film was not confirmed by the light irradiation, because Cu atoms diffused to the TiO2 layer. The temperature coefficient of resistance (TCR) of the TiO2/Cu2O thin film and the TiO2/Cu2O/TiO2/Cu2O thin film were -16820×10-6 [/K] and -18400×10-6 [/K], respectively. The resistance of the TiO2/Cu2O/TiO2/Cu2O thin film was higher than that of the TiO2/Cu2O thin film, because the number of an interface between a TiO2 layer and a Cu2O layer influenced the bulk resistance of the thin film.

TF-ThP-19 Analysis of Surface Species and Film Structure of Thin Films from Atomic Layer Deposition using Surface-Enhanced Raman Spectroscopy
Ryan Hackler, Peter Stair, Richard Van Duyne (Northwestern University)

In-situ surface-enhanced Raman spectroscopy (SERS) was used to identify dimeric methylalumina surface species during Al2O3 atomic layer deposition (ALD) on a silver surface. Vibrational modes associated with the bridging moieties of both trimethylaluminum (TMA) and dimethylaluminum chloride (DMACl) surface species were found during ALD. Density functional theory (DFT) calculations were also performed to locate and identify the expected vibrational modes. DMACl surface species were unable to be measured after multiple ALD cycles as a result of a loss in SERS enhancement and shift in LSPR. Currently, this work is being extended towards other ALD processes (such as TiO2), as well as expanded to identify other characteristics of the thin films produced using adsorbate vibrational spectroscopy. This work highlights how in-situ optical spectroscopy by SERS and LSPR scattering are useful for probing the identity and structure of the surface species involved in ALD, as well as the structure of the resulting film.

TF-ThP-20 Carbon Thin Films Prepared by the Ion Assistance the Mass Spectrometric Analysis Type
Kenji Iwasaki, Ichiro Takano (Kogakuin University, Japan)

Recently, single-layer graphene sheets, diamond thin films, carbon nitride (C3N4) have attracted attention as a functional carbon thin film. Among them, DLC (Diamond-Like Carbon) is an amorphous carbon film showing characteristics which are close to that of diamond. Since those characteristics are high hardness, low friction coefficient, etc., DLC is used in a mechanical field such as sliding machine parts or engine parts.Practical application progresses rapidly in which tribology field, life expectancy has increased, maintainers

Contributing to Reducing Frequency In recent years, as a new characteristic of DLC gas barrier

Biocompatibility, etc. are recognized and it is expected to be applied to food containers, medical instruments, etc.

In our experiment, Ar+, N2+, He+ ion beam was used with evaporation to DLC films. This deposition method has some independent parameters from the film formation condition in comparison with other dry process methods. Therefore this method is anticipated in appearance of superior characteristics such as a high adhesion. In our previous research,

In our laboratory, we used Ar, N2, He as the ion species so far,

DLC film formation was carried out by an ion beam assist method in which toluene (C 7 H 8) was introduced.

As a result of the investigation, by setting the ion species to Ar, the sp 3 ratio of the structure is maximized.

Although improvement of mechanical properties was expected, high-energy Arion irradiation turned out to be a factor of the increasing graphite structure. Therefore, further structural control is considered necessary to deposit DLC by low energy ions. In this study, we investigate various characteristics of DLC thin film by mass spectrometry type ion beam and compare it with DLC thin film with straight type ion beam.

TF-ThP-21 Supramolecular Heterostructures - Engineering Organic Layered Materials with Tuneable Fluorescent Properties
Vladimir Korolkov (The University of Nottingham, UK); Kenji Watanabe, Takashi Taniguchi (National Institute for Materials Science, Japan); Nicholas Besley, Peter Beton (The University of Nottingham, UK)

For a long time the molecular self-assembly has been limited to engineering 2D molecular structures on surfaces. Here we demonstrate a successful approach that extends self-assembly into 3D by creating supramolecular heterostructures1. Essentially, these are layered organic materials that are stabilized by hydrogen bonds in plane and by van der Waals interactions between layers. Supramolecular heterostructures are formed by growing sequential layers of bi- and mono-component two-dimensional supramolecular arrays stabilized by hydrogen bonding. The heterostructures are formed on layered materials, hexagonal boron nitride (hBN) and graphite, by depositing layers of cyanuric acid/melamine (CA.M), 5,10,15,20-tetrakis(4-carboxylphenyl) porphyrin (TCPP), trimesic acid (TMA) and terephthalyc acid (TPhA).

We analyzed this heterostructures with ambient Atomic Force Microscopy that routinely achieve 0.1 nm resolution with conventional silicon probes. We have developed several approaches to characterize them. These include 'through-the-layer' imaging and scratching experiments to reveal the underlying layer structure.

AFM has confirmed that there is a clear epitaxial arrangement between these layers which intrinsically exhibit hexagonal (CA.M) and TMA, square (TCPP), linear (TPhA) symmetry. We demonstrate that heterostructure formation may be used to control the functional properties of supramolecular layers through a shift of the fluorescence peak position and a suppression of quenching for TCPP epitaxial layers.

The work will present outstanding examples of single molecule and submolecular resolution achieved in the ambient on standard atomic force microscopes. It is also possible to identify the registry between molecules in different layers and we include theoretical models which support our structural assignments and the observed shifts of fluorescent peaks.

1 - Korolkov et al. Nature Chemistry, 2017, in press.

TF-ThP-22 Predicting Feature Size of AZ 9260 Positive Photoresist Processed by Two-photon Lithography
Shelby Maddox, Min Zou (University of Arkansas)
Two-photon photolithography (TPP) is a promising fabrication method which allows very fine control over complex shapes in maskless lithography and is promising for creating 3D nanostructures. In this process, a high-fluence laser is focused into a photoresist in a discrete volume called a voxel. In the case of a negative photoresist, this volume is polymerized. In the case of a positive photoresist, the volume is monomerized. This size of this voxel depends on process parameters such as the focusing objective, the laser power, and the laser scanning speed across the photoresist surface. It is desirable to be able to precisely predict the feature width based on these process parameters. In this work, we present a mathematical model for predicting feature width created by a single-voxel using AZ 9260 positive photoresist with TPP. AZ 9260 is a high aspect ratio positive photoresist used in maskless lithography. The mathematical models are created which describe the resultant feature width as a function of the focusing objective, laser power, and the laser scanning speed. The features can be used as masks in a subsequent metal deposition to create conductive nanostructures for micro-electrode design, for use in biological research and multifunctional surfaces.
TF-ThP-24 ALD of Titanium Oxide using Cyclopetadienyl Titanium Alkylamide and Ozone
Seongyoon Kim, Jaemin Kim, TirtaRona Mayangsari, Jae-Min Park (Sejong University, Republic of Korea); JungWoo Park (Hansol Chemical Co., Ltd., Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

Titanium-containing thin films are widely used in microelectronic device structures such as high-permittivity capacitors, barrier metals, and metal gate structures. ALD technology has replaced PVD and CVD to provide excellent step coverage, accurate film thickness control, and high film quality. Many organic titanium compounds such as alkylamido titanium compounds and cyclopentadienyl titanium alkoxides have been used as precursors. The preferred properties of such precursors include good thermal stability, high reactivity and sufficient volatility. In the present study, we investigated the ALD of titanium oxide by using cyclopentadienyl titanium alkylamide compound and ozone. Density functional theory calculation was used to predict the properties of precursors, and in-situ QCM and FTIR were used to investigate deposition rates and reaction mechanisms. The physical and electrical properties of the deposited films were also characterized.

TF-ThP-25 Characteristics of Ge-Sb-Te Film Prepared by Atomic Layer Deposition and Tellurization of Ge-Sb Film
Yewon Kim, Seongyoon Kim, Jiyeon Gu, Jae-Min Park (Sejong University, Republic of Korea); Wonyong Koh (UP Chemical Co., Ltd., Republic of Korea); Won-Jun Lee (Sejong University, Republic of Korea)

The phase change random access memory device is the next generation non-volatile memory device in the spotlight, especially storage class memory applications. Ge-Sb-Te (GST) compounds have been extensively studied due to their fast switching properties among the phase change materials. In particular, the pseudo-binary compositions between GeTe and Sb2Te3 attracted much attention. The GST thin films were prepared by physical vapor deposition, chemical vapor deposition and atomic layer deposition (ALD) techniques. Recent studies have focused on ALD of Ge2Sb2Te5 film that combine ALD of GeTe with ALD of Sb2Te3, which is relatively complex. In this study, we prepared GST films by ALD and tellurization annealing of Ge-Sb film as an alternative manufacturing method of GST film. This method simplifies the deposition sequence and allows easy control of the film composition. The composition profile, crystal structure and phase transition temperature were investigated by Auger electron spectroscopy, Raman spectroscopy and resistance change before and after tellurium annealing. Changes in resistance were discussed in terms of composition and crystal structure. Gapfilling of the deposited film was also observed before and after tellurization.

TF-ThP-26 Molecular Layer Deposition of Boron Carbide from Carboranes
Michelle Paquette, Lauren Dorsett, Suhaib Malik, Thuong Nguyen, Derrick Bailey, Kaleb Rimpson (University of Missouri-Kansas City); Jeffery Bielefeld, Sean King (Intel Corporation)

Atomic layer deposition (ALD) research has exploded in this era of electronic miniaturization, smart materials, and nanomanufacturing. To live up to its potential, however, ALD must be adaptable to many types of materials growth. To extend the reach of this layer-by-layer deposition framework, researchers have begun to explore molecular based processes. Still relatively rare, existing molecular layer deposition (MLD) processes are typically based on the condensation of “linear” 2D or “brush-type” organic polymer chains. To move toward a 3D MLD growth model, precursors with multiple reaction sites would be desirable. To this end, icosahedral carborane (C2B10H12) molecules provide an interesting target. Carboranes have been used in the plasma-enhanced chemical vapor deposition of boron carbide films for low-k interlayer dielectrics, neutron detection, and a variety of protective coatings. These are symmetric twelve-vertex molecules, wherein the terminal H atoms at each vertex are known to be labile in the presence of plasma, heat, or a number of chemical reagents. The carborane molecule is very stable and can be derivatized with a range of functional groups; dozens of these derivatives are available commercially, many of which have relatively high vapor pressures. As such, the carborane molecule is particularly intriguing as a novel MLD precursor for 3D growth, possessing unique symmetry, chemical reactivity, and volatility properties not commonly encountered in traditional organic molecules. In this contribution, we describe early work in our group toward developing a novel MLD process for the growth of boron carbide films using a number of different carborane precursors and substrates.

TF-ThP-27 Comparative Study of the Optical and Structural Properties of Single and Stacked SRO Thin Films Obtained by RF Sputtering
Karim Monfil Leyva, AlmaSiniaLizet Salazar Valdez (Benemérita Universidad Autónoma de Puebla, Mexico); Alfredo Morales Sánchez, Francisco Morales Morales (CIMAV-Monterrey, Mexico); JoséAlberto Luna López (Benemérita Universidad Autónoma de Puebla, Mexico); AnaLuz Muñoz Zurita (Universidad Politécnica Metropolitana de Puebla, Mexico)

Silicon rich oxide (SRO) has proved to be a cheap and interesting alternative to develop ultraviolet absorbers or silicon-based light emitters. New electronic and optoelectronic devices based on SRO material may require of single or stacked films with different silicon excess to improve optical and electrical properties. SRO films can be deposited by several deposition techniques but they can be obtained at Room Temperature by RF Sputtering deposition technique and its thickness can be well controlled. Silicon excess can be changed by fixing the RF-Power density on the SiO2 target and changing the RF-Power on the Silicon target (PSi).

In this work, we report a comparative study and analysis of the optical and structural properties of single and stacked SRO thin films obtained by RF Sputtering. Single SRO films were obtained by changing the PSi = 30, 40 and 50 W. Two different stacked SRO films, like a Bilayer (BL) structure, were obtained by changing the PSi = 50/30 W and 30/50 W. All samples were deposited on n-type silicon (Si) substrates with low resistivity (1~5 W•cm). A thermal annealing of 1100°C for 3 hours in N2 ambient was applied to all SRO films. Step measurements were applied to calculate thickness of SRO samples. X-ray photoelectron spectroscopy (XPS) measurements were obtained from all samples to calculate and compare the Silicon excess. XPS results revealed the non-stoichiometric nature of our single SRO films and a change of Silicon excess in the BL structure. SEM images showed clear clusters on surface possible due to Si-nanocrystals (Si-nc) on bulk; also, surface roughness was calculated for all SRO films. Both BL structures showed Photoluminescence emission (PL) at Room Temperature (RT) before and after thermal annealing but the intensity was clearly increased after annealing. BL structures showed higher PL than single SRO films. All SRO samples showed two bands, a low blue band from 400 to 550 nm and a strong red band from 575 nm to 875 nm. Blue and red emission bands were related to Si-nc and defects in the SRO samples.
TF-ThP-28 Dependence of the Corrosion Behavior of Transition Metal Nitride Films on the Sputtering Power Mode
Yuri Chipatecua (CINVESTAV-Unidad Queretaro, Mexico); Olof Tengstrand (Linköping University, Sweden); JhonJairo Olaya-Florez (Universidad Nacional de Colombia); Grzegorz Greczynski (Linköping University, Sweden); Ivan Petrov, Joe Greene (University of Illinois at Urbana-Champaign); Alberto Herrera-Gomez (CINVESTAV-Unidad Queretaro, Mexico)

Transition metal nitrides (TMN) are valuable coatings because they provide desirable properties of practical use. Their physical and chemical properties are also interesting from the fundamental perspective . Through the combination of sputtering power modes (HIPIMS and DCMS), it is possible to control, at least partially, harmful structures such as porosity, defects among grains, vacancies and dislocations.

We employed a hybrid high-power pulsed and dc magnetron co-sputtering with synchronized substrate bias to grow TMN films. Ti and Al were deposited in DCMS and Ta in HIPIMS mode. The bias was applied in synchronous with the Ta-ion portion of each HIPIMS pulse to minimize Ar+ bombardment. TiN coatings were deposited in the modes of direct current (TiN_DC) and direct current pulsed (TiN_P) with a constant substrate bias. TiTaN, TiTaAlN, TiN_DC and TiN_P coatings were grown onto stainless steel AISI 304 with thickness ~ 300 nm. Corrosion studies were carried out in a NaCl solution at room temperature by electrochemical impedance spectroscopy and potentiodynamic polarization. By Tafel extrapolarization from the potentiodynamic curves, corrosion current density values (Icorr) were three orders of magnitude lower than substrate AISI 304. While TiN (DC and P) coatings showed degradation or products of corrosion accumulation, TiTaN and TiTaAlN coatings proved to be efficient as corrosion protection barrier. Their integrity was not affected (there was no evidence of microcracks, delamination or failure). This is probably associated to a more intense ion bombardment due to higher applied potential in the range of 4 to 6 kW. These coatings showed lower oxygen content than TiN_DC and TiN_P. The chemical composition of all coatings was evaluated by XPS sputter resulting in Ti0.82Ta0.35N and Ti0.30Ta0.12Al0.78N, respectively, both with a small amount of oxygen contamination (4%). In the case of titanium nitride, the composition was Ti1.09N O0.53 for DC mode and TiN O0.24 for DC pulsed mode. The background associated to the Ti 2p spectra show an interesting behavior with composition. In this paper we will also discuss the relationship between corrosion and sputtering power mode.

TF-ThP-29 Laser Microstructuring of Gas Sensing Thin Films
Premysl Fitl, Jan Vlcek, David Tomecek, Eva Maresova, Sarka Havlova (University of Chemistry and Technology Prague, Czech Republic); Michal Novotny, Jan Lancok (Institute of Physics ASCR, Czech Republic); Martin Vrnata (University of Chemistry and Technology Prague, Czech Republic)

Focused Laser beam is a widely used for deposition, localized annealing and patterning of various materials (eg. Metals, oxides, organic substances). Our work is focused to possibilities of usage of continuous wave (CW) lasers for local deposition and patterning of inorganic and organic semiconductors.

The source substrates were prepared as follows: Thin glass slides with sputtered metal layer (gold - thickness ~ 100 nm) were cleaned and dried. Side with sputtered metal was then covered with a thin layer of purified organic semiconductors – Zn, Pb and Fe Phthalocyanines, deposited by organic molecular evaporation in high vacuum chamber (10-5 Pa, dep. Rate ~ 0.1-3nm/min, substrate temp. 20 – 300 °C). The temperature of deposition source and substrate was selected for each substance so as to achieve optimal growth rate and to avoid thermal decomposition of materials. In the next step CW laser depositions were carried out from these substrates. The deposition apparatus include micro CNC machine (minimal step adjustable to 300 nm) equipped with the semiconductor laser (405 nm, 10 - 50 mW, spot 6 microns) in continual mode and focusing optics. The distance between source substrate and target (i.e. glass, silicon or alumina sensor substrates) was varied between 1-100 micrometers. Deposition process was held in an inert gas (Argon, Nitrogen) at atmospheric pressure. Morphology and microstructure were studied by optical, electron microscopy and AFM. Chemical composition of deposited structures was studied by FTIR and compared with that of source substances. It was proved that chemical structure of all chosen substances is not affected by this deposition technique. The best lateral resolution of prepared structures was obtained for source layer thickness of 100-150 nm. Employing our technique we are able to achieve precise and reproducible laser transfer of organic semiconductors to the target sensor substrate with lateral structural resolution of 14 microns.

We can make an assumption that our technique could be used also for other organic semiconductors used in gas sensors which can be deposited by organic molecular evaporation.

TF-ThP-32 Low Temperature Growth of VO2 Films on Flexible Plastic Substrates using TiO2 Buffer Layer
DaeHo Jung, HyeonSeob So, JaeSeong Ahn, SangBin Hwang, Hosun Lee (Kyung Hee University, Republic of Korea)

Vanadium dioxide (VO2) with high transmittance of visible-ultra violet radiation but the complete blockage of infrared solar radiation can be used for `solar control` windows. A thermochromic smart window is designed such that the VO2 films regulate solar infrared radiation. Polyimide has thermal stability, good film-forming ability, low dielectric constant, high chemical resistance, low coefficient of thermal expansion and high mechanical strength. Due to these reasons polyimides are largely used as matrix for various purposes and the advantages of polyimide (PI) have been utilized as flexible substrate. VO2 thin films with ~50 nm in thickness were grown on TiO2-buffered PI films using RF magnetron sputtering deposition using a VO2 target. The sputtering pressure was set at 6 mTorr with 10 sccm flow of Ar gas. VO2 thin films on TiO2-buffered PI films were grown at 175°C. Since the PI substrates (75 mm-thick) can keep physical properties only up to 260 °C, low temperature growth of VO2 films (≤ 260°C) is necessary, After sputtering deposition, all samples were annealed with 1 sccm of O2 flow for 1hr. The structural and morphological properties of all VO2 films were studied by X-ray diffraction (XRD), scanning electron microscopy (SEM), and Raman spectroscopy. However, no peak for the monoclinic of phase of VO2 appeared in Raman spectrum of growth of VO2/TiO2/PI at under 200oC. It was difficult to observe XRD diffraction peaks of VO2 because VO2 thin films did not crystallize under 200oC. The chemical compositions of VO2 were probed by x-ray photoelectron spectroscopy. The measurement of depth-dependent O/V ratio also showed that the stoichiometry was independent of depth for VO2 films.We measured the hysteresis curve of sheet resistance as a function of temperature. We measured the reflectivities of VO2 films below and above the metal-insulator transition temperature. The ratio of switching resistivity was 10-2. VO2 films grown on plastic films can be applicable as flexible thermochromic films for energy-saving windows.

Reference:

[1] Dae Ho Jung, Hyeon Seob So, Kun Hee Ko, Jun Woo Park, Hosun Lee, Trang Thi Thu Nguyen, and Seokhyun Yoon, J. Korean Phys. Soc. 69 (2016) 1787.

TF-ThP-33 Single Junction GaAs Thin Film Solar Cells on Flexible Metal Tapes for Low Cost Photovoltaics
Devendra Khatiwada, Pavel Dutta, Monika Rathi, Sicong Sun, Yao Yao, Ying Gao, Yongkuan Li, Sara Pouladi, Jae-Hyun Ryou, Venkat Selvamanickam (University of Houston)

There is great interest in thin film solar cells for manufacturing cost-effective photovoltaics due to their advantages of light weight, versatile application, mechanical flexibility and scalable length. Thin film solar cells made of III-V semiconductor materials that have a high absorption coefficient over a wide range of the solar spectrum are strong candidates but have been largely unexplored so far. GaAs has high mobility, high band gap and high absorption coefficient and AlGaAs can be lattice matched with GaAs to form a heterojunction structure. While the highest efficiencies have been reported in III-V solar cells based on GaAs and Ge wafers, their application has been very limited due to high cost of these wafers.

Herein, we have developed high quality epitaxial semiconductor thin films on low-cost flexible metal tapes to overcome the wafer cost as well as benefit from the lower manufacturing cost of roll-to-roll processing. In our process, single-crystalline-like germanium films are grown on the flexible metal tape over which epitaxial (Al)GaAs semiconductor thin films are grown by metal organic chemical vapor deposition (MOCVD). The grown device architecture is further processed for contact deposition via photolithography.

The fabricated thin film III-V solar cells exhibit photon conversion efficiency of 7% with open circuit voltage (VOC) of 565mV, short circuit current density (JSC) of 17.9 mA/cm2 and fill factor (FF) of 67% under A.M 1.5 (1 sun). Conversion efficiencies up to 11% have also been demonstrated with the thin film III-V solar cells. Further improvement in device efficiency is being pursued with new device architectures and optimization of the growth and fabrication processes.

This work was partially funded by the U.S. Department of Energy Sunshot Initiative.

TF-ThP-34 Optical and Microstructural Characterization of Epitaxial VO2 on TiO2 (001) and Niobium Doped TiO2
Jason Creeden, Irina Novikova, R. Alejandra Lukaszew (The College of William and Mary)

The goal of this project is to optimize the efficiency of the optically induced insulator to metal transition (IMT) in the near-UV and UV regions, following the recent demonstration that VO2 prepared on niobium doped TiO2 (TiO2:Nb) substrates can push the photoconductive response, ordinarily strong in the infrared, into the visible spectrum. Therefore, this project focused on the tailoring of the optical and microstructural parameters pertaining to the IMT in VO2 thin films. This was done by controlling the microstructure of the films via deposition parameters and substrate doping and thus optimizing the VO2 growth process for TiO2 and TiO2:Nb substrates. In doing so, we strained the monoclinic structure seen in the insulating phase toward the tetragonal structure seen in the metallic phase post-transition. The film composition was characterized via X-ray diffraction (XRD) and changes to the VO2 optical transmissions, during the thermally induced IMT, were recorded using both a HeNe laser (1520 nm) and a 405 nm diode laser. With the HeNe laser, we could see strained bulk-like transitions of VO2 occurring for samples grown on TiO2 and small transitions for the samples grown TiO2:Nb. The samples grown on TiO2:Nb structurally exhibit a .25o shift in the XRD peak location in comparison to the un-doped samples. With the 405 nm laser, the samples grown on TiO2:Nb exhibit sharp transitions that are comparatively large to those of the slight transitions seen in samples grown on TiO2. Suggesting that the doping enhances the near-UV optical response of the film.

TF-ThP-35 Characterizing the Field of Atomic Layer Deposition: Authors, Topics, and Collaborations
Elsa Alvaro (Northwestern University); Angel Yanguas-Gil (Argonne National Laboratory)

Atomic layer deposition (ALD) has become one of the key techniques in thin film growth with applications in areas such as microelectronics, advanced sensors, energy storage, and more. Using a combination of bibliometric, social network, and text analysis, we describe the evolution of ALD as a field over time. In particular, we have examined the evolution in terms of publications, as well as changes in authors, journals, and collaborators.

The study of the collaboration network of ALD scientists over time shows how the ALD research community, originally consisting of small isolated clusters, becomes both larger and more interconnected. The formation of a single large connected component in the collaboration network coincides in time with the first AVS-sponsored symposium on the subject. As of 2015, the largest connected component spans 90% of the authors. In addition, the evolution of network centrality measures such as degree and betweenness centrality and author productivity allow us to identify the central figures in ALD according to each metric and their evolution over time, including the appearance of new "stars" in the last decade. Finally, we carried out a study of the title words of the papers in our dataset. Through the evolution of the technical terms in the titles we can map the evolution of the field. The results are consistent with a shift in focus on research topics towards energy applications and nanotechnology, and an increase in interdisciplinarity that correlates well with the evolution of the main scientific journals that publish ALD research.

TF-ThP-37 Nano-laminates Encapsulation Films Fabricated via Spatially Separated Atomic Layer Deposition for High Stable Flexible OLED Electronics
Yun Li, Yuanyuan Liu, Kun Cao (Huazhong University of Science and Technology, China); Hsianglun Hsu, Jing Huang (Wuhan China Star Optoelectronics Technology Co., Ltd (CSOT), China); Rong Chen (Huazhong University of Science and Technology, PR China)

The expansion demand for wearable and flexible electronics based on organic light emitting diode (OLED) displays have attracted great attention. Flexible OLED displays, however, widely utilize materials that are sensitive to oxygen and water which causes performance degradation or failure during usage. It is imperative to develop reliable and efficient thin film encapsulation methods to improve the stability and meet the requirements of miniaturization, flexibility and low cost. Atomic layer deposition (ALD) technique enables thin film fabrication with high quality, good uniformity and atomic level controllability in film thickness. It is quite promising as a practical method for future flexible electronics encapsulation.

This work focuses on the design and fabrication of encapsulation films for high stable flexible OLED electronics via spatially separated atomic layer deposition (S-ALD). S-ALD is proposed to separate different precursors’ zones with inert gas in space ensure continuous deposition process. By optimizing the design of precursor injectors’ structure and movement of sample stage, the uniformity and growth rate of deposited films are enhanced significantly. The oxides nano-laminates are fabricated with S-ALD method. The stacked sequence (ABAB, AABB) and thickness for every sub-layer are precisely controlled with ALD cycles. Recent research show that the water vapor transmission rate (WVTR) has been enhanced with nano-laminates compared with single layers with the same thickness. The WVTR is also influenced with the nano-laminates’ structures as stacked sequence. Moreover, the organic sub-layers are introduced to form organic-inorganic multi-barriers to protect OLED devices. The composite encapsulate layers demonstrate both good bending mechanical properties as well as enhanced devices’ stability towards hydrothermal aging.

TF-ThP-38 Conductive Collagen: A Novel Material for Green, Transient Implantable Electronics
ArghyaKamal Bishal, Cortino Sukotjo, Christos Takoudis (University of Illinois at Chicago)

In modern biomaterial based electronics, the choice of green and transient material is becoming attractive.1, 2 This kind of materials require to have unique properties like biodegradability, bioresorbability, biocompatibility and environmentally safe.1, 2, 3 The advantages behind using these materials are: low cost, energy efficient production due to their natural origin, no long term adverse effects, no need of retrieval as they can resorb and above all they can minimize hazardous waste for our environment.2

Collagen is an important biomaterial which is used in several biomedical applications. It has a triple helix structure made of polypeptide chains.3, 4 Glycine, proline are the most abundant amino acids found in its structure. Collagen is a flexible biomaterial which is also biodegradable/bioresorbable5, biocompatible5 and piezoelectric3 hence might be an ideal choice for this kind application in implantable electronics.

In this work, we present electrical properties of collagen membrane functionalized with a thin film deposited using Atomic Layer Deposition (ALD). For this study, a commercially available bioresorbable collagen membrane was used as ALD substrate. As a preliminary pilot study, thin film of titanium dioxide (TiO2) was deposited on collagen membrane in a custom-made ALD reactor and different film thickness was achieved by varying the number of ALD cycles. The deposition was performed at room temperature using Tetrakis(dimethylamido)titanium (TDMAT) and ozone as metal precursor and oxidizer, respectively.6 Electrical properties of the samples were measured using a 2-probe system. Preliminary results showed that the ALD coated collagen samples were more conductive compared to non-coated control and this conductivity increased with increase in number of ALD cycles.

1. Irimia-Vladu M. Chemical Society Reviews. 2014;43(2):588-610.

2. Hwang SW, Tao H, Kim DH, Cheng H, Song JK, Rill E, Brenckle MA, Panilaitis B, Won SM, Kim YS, Song YM. Science. 2012 Sep 28;337(6102):1640-4.

3. Moreno S, Baniasadi M, Mohammed S, Mejia I, Chen Y, Quevedo‐Lopez MA, Kumar N, Dimitrijevich S, Minary‐Jolandan M. Advanced Electronic Materials. 2015 Sep 1;1(9).

4. Khan R, Khan MH. Journal of Indian Society of Periodontology. 2013 Jul 1;17(4):539.

5. Wang J, Wang L, Zhou Z, Lai H, Xu P, Liao L, Wei J. Polymers. 2016 Mar 29;8(4):115.

6. Bishal AK, Sukotjo C, Takoudis CG. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films. 2017 Jan;35(1):01B134.

View Supplemental Document (pdf)
TF-ThP-39 Alkali Halide Assisted Atomic Layer Etching of Metal Oxides
John Hennessy, April Jewell, Shouleh Nikzad (Jet Propulsion Laboratory)

The authors previously reported on the development and application of an atomic layer etching (ALE) procedure based on alternating exposures of trimethylaluminum (TMA) and anhydrous hydrogen fluoride (HF) implemented to controllably etch aluminum oxide. We have shown that conditioning the chamber with a thin film of lithium fluoride (LiF) enhances the ALE process, resulting in higher etch rates and better uniformity. We have hypothesized that the LiF participates in an intermediate reaction that promotes the loss of fluorine surface species and results in conformal layer-by-layer etching of aluminum oxide films. Etch properties were explored over a temperature range of 225–300 °C with the Al2O3 etch rate increasing from 0.8 to 1.2 Å per ALE cycle at a fixed HF exposure of 60 ms per cycle. We have since extended this work to include a variety of substrates (metal oxides) and etch enhancers (alkali halides). Preliminary results suggest that the TMA/HF chemistry is effective at etching hafnium oxide. Additionally, other alkali halide materials (e.g. KBr) behave as oxide etch enhancers, in some cases resulting in even higher etch rates than what was previously achieved with LiF.

Copyright 2017. All rights reserved.

TF-ThP-40 Tribocorrosion Behavior of Ti-6Al-4V Alloy Coated with TaN/Ta Layers in Two Simulated Body Fluids
Jessica Estefania González Sevilla, Martín Flores, Román Rosas, Ernesto García (Universidad de Guadalajara, Mexico)
Ti-6Al-4V alloy is one of the most used materials in biomechanical industry due to the high corrosion resistance as well as its elastic modulus relatively close to that of bone tissue. This material also has excellent biocompatibility and its surface can be treated to promote osseointegration with the host tissue. However, suffer from a low wear resistance in dry and wet conditions. This work focuses on the synthesis of Tantalum Nitride and tantalum layers by magnetron sputtering on substrates of Ti-6Al-4V alloy. TaN and Ta coatings are biocompatible materials, both are chemically stable and TaN has high nanohardness, these properties make the coating of TaN/Ta resistant to the simultaneous attack of corrosion and wear. The structure and composition of coatings were studied by XRD and EDS techniques respectively. Raman spectroscopy and Scanning Electron Microscopy were used to analyze the wear track of the alloy and coated samples. The tribocorrosion tests were made in two different simulated body fluids. One made of deionized water plus salts with the same ions concentration than in human blood and other of Fetal Bovine Serum (FBS) and a compensatory ion solution to reach the ions concentration at blood. The load applied was between 0.5 and 2 N using a ball of Al2O3. Were measured the open circuit potential, friction coefficient and total lost material. The results showed a reduction of the wear, as a consequence of the TaN/Ta coating. The incorporation of proteins by means of FBS in the corrosive medium reduces the friction coefficient.
TF-ThP-41 The Leakage Current Reduction in Atomic Layer Deposition Of Al2o3-Inserted Srtio3 Films for Metal-Insulator-Metal Capacitors
Sang Hyeon Kim (Seoul National University, Republic of Korea); Cheol Hyun An (Seoul National University, Korea, Republic of Korea); Dae Seon Kwon, Cheol Seong Hwang (Seoul National University, Republic of Korea)

The characteristics of atomic layer deposition (ALD) of Al2O3-inserted SrTiO3 (STO) dielectric thin films were investigated in metal-insulator-metal capacitors for dynamic random access memory. STO thin films exhibit much higher dielectric constant compared with currently used ZrO2-based films, but the leakage current density is generally higher due to their low band-gap energy. To decrease the leakage current density, ALD-Al2O3 cycles were included in the STO ALD at different positions of the stack. 1 to 5 cycles of Al2O3 were inserted in the top, bottom, or middle of the STO film. As a result, the leakage current of the STO thin film with a thickness of 8nm decreased from 10-6 A/cm2 to 10-8 A/cm2 at 0.8V. This improved the minimum attainable equivalent oxide thickness (EOT) of the (top) RuO2/STO/Ru (bottom) capacitor from 1.0nm to 0.68nm, with an acceptable leakage current density (~8 X 10-8A/cm2). The degree of leakage current decrease was dependent on the locations of Al2O3 insertion into the STO thin film during the ALD. The leakage current reduction was more effective when Al2O3 was deposited at the top portion of the STO film than when it was deposited at the bottom portion. Only one cycle of Al2O3 at the bottom location significantly decreased the crystallinity of the STO layer grown on top, and thus, the higher post-annealing temperature was required to achieve high crystalline quality, which simultaneously increased the leakage by possibly involving nano- and micro-cracks. The Al2O3 at the top location did not induce such adverse effect while leakage current suppression effect was achieved.

Session Abstract Book
(420KB, May 6, 2020)
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2017 Schedule