AVS2016 Session TF-ThP: Thin Films Poster Session

Thursday, November 10, 2016 6:00 PM in Room Hall D

Thursday Evening

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2016 Schedule

TF-ThP-2 Interfaces in Hybrid Structures: A 'non'-Destructive, In Situ Insight in Bonds and Failure
Tom Hauffman, Sven Pletincx, Kristof Marcoen (Vrije Universiteit Brussel, Belgium); Philipp Kerger (Max Planck Institut fur Eisenforschung GmbH (Düsseldorf- Germany), Germany); Laura-Lynn Fockaert (Technical University of Delft, Netherlands); Michael Rohwerder (Max Planck Institut fur Eisenforschung GmbH (Düsseldorf- Germany), Germany); Johannes Mol (Technical University of Delft, Netherlands); Herman Terryn (Vrije Universiteit Brussel, Belgium)

Polymer/(hydr)oxide/metal systems play an important role in engineering. In aerospace, microelectronics, automotive, packaging and even biomedical industry engineering metals are adhesively bonded by a polymer adhesive. Next to adhesive joints, organic coatings are used in these industries and in construction in order to protect the underlying substrate against atmospheric influences. The interface between the organic layer and the oxide of these hybrid systems is very important as it determines largely the performance of the entire system. However, in which sense this interface is of extreme importance is the topic of a large debate. Although mechanical interlocking has always been put forward as the main force holding hybrid structures, it becomes increasingly clear that interfacial chemical interactions are the key players in hybrid structure durability. Furthermore, the access to the interface of hybrid structures is challenging as it is mostly covered by µm range thick polymer overlayers. The so-called buried interface can only be accessed by using monomeric model compounds or by removing (e.g. by argon sputtering or stripping) the polymeric layer partially [1-5]. However, monomers do not fully represent the interphases to be expected in polymer – metal oxide structures and sputtering alters the interface itself. In this presentation, we will present an innovative approach to study interfacial interactions between metallic oxide layers and polymeric films in a non-destructive, in situ manner. This will be done using ultrathin polymeric films deposited on well-tuned oxides. The interactions will be probed using X-ray Photoelectron Spectroscopy, AFM-TOF-SIMS, Infrared Spectroscopy in a Kretchmann geometry and Near Ambient Pressure X-Ray Photoelectron Spectroscopy.

1. Hauffman, T. Van Lokeren, L. Willem, R. Hubin, A. and Terryn, H. Langmuir 28 (2012) 3167-3173.

2. Bekir, S. Özkanat, Ö. Mol, J. Terryn, H. and Rohwerder, M., Journ. Phys. Chem. C 117 (2013) 4480-4487.

3. Taheri, P. Ghaffari, M. Flores, J. Hannour, F. de Wit, J. Mol, J. and Terryn, H. Journ. Phys. Chem. C 117 (2013) 27480-2792.

4. Wielant, J. Hauffman, T. Blajiev, O. Hausbrand, R. and Terryn, H. Journ. Phys. Chem. C 111 (2007) 13177-13184.

5. Taheri, P. Terryn, H., and Mol, J., Appl. Surf. Sci. 354 (2015) 242-249.

TF-ThP-5 Valence Band Investigation of Cu(In,Ga)Se2 Semiconductor: Improvements by Ag Alloying
Kevin Jones, Robert Opila, Fang Fang (University of Delaware); Lei Chen, William Shafaraman (University of Delaware and Institute of Energy Conversion at University of Delaware)

The surface valence band-edge energy lies below the Fermi level by about 1.1eV for CuInSe2. This energy is larger than the bulk band gap energy of 1.04eV, therefore was indicated that the surface of CuInSe2 has a wider bandgap than its bulk. It was later found that the surface band gap of polycrystalline CuInSe2 is 1.4eV, more than 0.3eV larger than its bulk band gap. This led to many experimental observations of what may be the cause of this surface widening effect, in which most progress has been computational. Empirical data suggest that preferential formations of electrical neutral defect pairs are created naturally in Cu-chalcopyrites, in which forms a so called “surface defect layer” on the surface and between the interfaces of the buffer and back contact layer of Cu-based solar devices. The need for an efficient wide band gap absorber has been established for a monolithic tandem solar cell design of the Cu(In,Ga)Se­2 thin-film system, therefore, understanding related surface electronic properties is a necessity for band gap tuning. This work investigates the surfaces of the proposed Ag-alloyed wide band gap system of Cu(In,Ga)Se2, by way of ultra-violet photoemission spectroscopy. In these efforts, we relate the valence band spectra of certain Ag and Ga ratios of Cu-In-Se system to reported empirical data of CuInSe2 and CuIn5Se8 (ODC) stoichiometry, and how these ratios effect surface electronic properties.

TF-ThP-7 Internal Charge Transfer at the MBE-Grown Complex Oxide Interface
Peng Xu (University of Minnesota); TimothyC. Droubay (Pacific Northwest National Laboratory); JongSeok Jeong, K.Andre Mkhoyan (University of Minnesota); Peter Sushko, Scott Chambers (Pacific Northwest National Laboratory); Bharat Jalan (University of Minnesota)

Two-dimensional (2D) ultra-high carrier densities are of significant interest for novel plasmonic and high charge-gain devices. The highest 2D electron density obtained is thus far limited to 3×1014 cm-2 (½ electron/unit cell/interface) at GdTiO3/SrTiO3 interfaces, and is typically an order of magnitude lower at LaAlO3/SrTiO3 interfaces. In this work, we will present detailed study from experiments and modeling to show that carrier densities much higher than 3×1014 cm-2/interface can be achieved via band engineering at MBE-grown NdTiO3/SrTiO3 interfaces. The SrTiO3 (8 u.c.)/ NdTiO3 (2 u.c) /SrTiO3 (8 u.c.) /LSAT(001) heterostructure shows the expected 0.5 electron/unit cell/interface starting at t = 2 u.c., but then exhibits a higher carrier density regime at t ≥ 6 u.c. due to additional charge transfer from broken gap band alignment between NdTiO3 and SrTiO3. The thickness dependence of electronic transport behavior will also be discussed.

TF-ThP-9 Preparation of a Transparent Conductive Multilayer Consists of MoO3/Ag/MoO3 and its Application in OLEDs
Midori Kawamura, Tomoya Chiba, Takayuki Kiba, Yoshio Abe, KyungHo Kim (Kitami Institute of Technology, Japan)

Transparent conductive films are widely used as electrodes in solar cells and display devices. As a material, indium tin oxide (ITO) has been most popular. However, development of indium-free or indium-saving materials is also demanded. As one of the solutions, a multilayer structure with oxide/metal/oxide layers has been developed. Previously, we have prepared indium zinc oxide (IZO)/Ag/IZO multilayer as an anode of an OLED, and obtained an excellent properties. In the present paper, we prepared an indium-free multilayer consists of molybdenum oxide (MoO3) and Ag. We report a properties of the multilayer as a transparent conductive film and as an anode of OLED.

Film deposition was conducted on a glass substrate at room temperature by vacuum evaporation method. The thicknesses of the Ag layer and the MoO3 layer were varied from 10 to 14 nm and 5 to 30 nm, respectively. The figure of merit (FOM) was calculated based on the sheet resistance and transmittance at a wavelength of 550 nm to estimate the performance of the transparent conductive films. The OLEDs consists of anode/MoO3(1nm)/α-NPD(60,70nm)/Alq3(65, 75nm)/LiF(1nm)/Al(150nm) were fabricated and the current [http://ejje.weblio.jp/content/current+of+electricity] and the luminance of the devices as a function of applied voltage were measured.

Sheet resistance of the multilayer was mainly governed by thickness of the Ag layer, therefore multilayer where the thickness of Ag layer was 14 nm showed low values. However, optical transmittance at a wavelength of 550nm changed depending on the thickness of oxide layer, and the highest FOM value was obtained in a multilayer consists of MoO3(30nm)/Ag(14nm)/MoO3(30nm). By AFM observation, it was found that hillocks were formed on the multilayer surface and this caused a short-circuit of an OLED device. Finally, we obtained a good OLED properties by increasing thicknesses of organic layers. It is found that efforts to reduce surface roughness of the multilayer is needed to improve OLED properties further.
TF-ThP-11 X-Ray Analysis of Metamorphic InxGa1-xAs/InyGa1-yAs Superlattices on GaAs (001) Substrates
Fahad Althowibi, John Ayers (University of Connecticut)

Strained-layer superlattices have been used in metamorphic device structures for the control of the threading dislocation density. If placed below a graded layer, the superlattice can modify the misfit dislocation length, and if placed above a metamorphic buffer, the superlattice can promote annihilation and coalescence reactions between threading dislocations. In either application, the superlattice is metamorphic, or partly lattice relaxed, and it is of interest to be able to determine the threading dislocation density within the superlattice by means of non-destructive characterization. In this paper we report a study of the dynamical x-ray diffraction from InxGa1-xAs/InyGa1-yAs superlattices grown epitaxially on GaAs (001) substrates. We show that the threading dislocation density in the superlattice may be estimated from non-destructive x-ray rocking curve measurements. This approach may also be extended to complex device structures containing metamorphic superlattices.

TF-ThP-12 Synthesis of Novel Ta Precursor and its Application in Atomic Layer Deposition of TaN Film
JeongHwan Han (Korea Research Institute of Chemical Technology, Republic of Korea); SangChan Lee, HyoYeon Kim, Taek-Mo Chung (Korea Research Institute of Chemical Technology); ChangGyun Kim (Korea Research Institute of Chemical Technology, Republic of Korea)

Tantalum nitride (TaN) film has received considerable attention for the application in the Cu diffusion barrier owing to its promising properties including high electrical conductivity, high chemical stability, and high resistivity against Cu diffusion [1,2]. Although physical vapor deposition method such as sputtering is being mainly used for TaN coating in semiconductor industry, the use of atomic layer deposition (ALD) is expected to be essential to fabricate highly scaled semiconductor devices. A variety of Ta precursors have been reported for TaN ALD films. Although tantalum halides such as TaCl5, TaF5 and TaI5 were most widely performed with NH3 and tBuNH2, the halide precursors are solid with relatively low vapor pressure [3]. In addition, ALD with halide sources resulted in formation of corrosive by-products and required relatively high growth temperature to obtain pure TaN film.

We successfully synthesized novel Ta precursor which is thermally stable and volatile liquid at room temperature. Thermal decomposition test for novel Ta precursor revealed that it starts to decompose approximately at 350 oC. Self-limiting growth of TaN ALD film was observed with co-reactant either NH3 or NH3 plasma at the temperatures of 150-300 oC. Deposition rate of TaN using NH3 plasma at 200 oC is 0.06 nm/cycle, and AES depth profile showed that PEALD TaN film contains carbon and oxygen levels below 5 %. In this presentation, chemical/physical characteristics and Cu diffusion barrier property of TaN film will be covered.

Figure. AES depth profile of PEALD TaN film grown at 200 oC

[1] T. Chakraborty and E. T. Eisenbraun, J. Vac. Sci. Technol. A 30, 020604 (2012).

[2] H. Kim, C. Detavenier, O. van der Straten, S. M. Rossnagel, A. J. Kellock and D.-G. Park, J. Appl. Phys. 98, 014308 (2005).

[3] J.-D. Kwon, J. Yun, and S.-W. Kang, Jpn. J. Appl. Phys., 8, 025504 (2009).

TF-ThP-13 Transmission of Plasma-Generated Free Radicals through Silicon Nitride Dielectric Films
Faraz Choudhury, G. Sabat, Michael Sussman (University of Wisconsin-Madison); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)
A high concentration of free-radicals is present in many processing plasmas, which affects the processing conditions and the properties of materials exposed to the plasma. Determining the types and concentrations of these free radicals is critical in order to determine their effects on the materials being processed. Previous work utilized simulations[1] to determine the free-radical density and doses from the processing plasma. Several techniques have been developed and tested over the years for radical measurements[2], but the methods do not provide a direct measurement of the free radical concentrations at the location of the sample during processing. A new technique, using fluorophore dyes, that can detect free radicals in a processing plasma and determine the their fluence at the surface of a sample during processing is investigated. The fluorophores used in this work is Alexa Fluor® 488. After reaction with reactive oxygen species (ROS), the bright green fluorescence (excitation/emission maxima ~490/515 nm) of the dye is significantly degraded. This degradation is measured using a fluorometer. The change in intensity of the fluorescence is used to measure the free radical fluence incident on the sample holder under various plasma conditions. This technique is also used to determine the number of free radicals that can penetrate through a layer of Silicon Nitride (SiN) film as follows. Alexa 488 is placed under free-standing SiN films of various thicknesses and exposed to oxygen plasma to determine the absorption coefficient and penetration depth of the free radicals. Using this method, the absorption length was found to be about 30 nm. Using X-ray Reflection (XRR) spectroscopy, it was found that the top 25 nm of the plasma-exposed film is modified which corresponds to the depth of free radical induced damage.

This work has been supported by the Semiconductor Research Corporation under Contract No. 2008-KJ-1871 and the National Science Foundation under Grant No. CBET-1066231.

1 Shi, H. and Huang, H., Bao, J., Liu, J., Ho, P. S., Zhou, Y., Pender, J.T., Armacost, M. D. and Kyser, D., Journal of Vacuum Science & Technology B, 30, 011206 (2012)

2 Moon, C.S., Takeda, K., Takashima, S., Sekine, M., Setsuhara, Y., Shiratani, M., and Hori, M., Journal of Applied Physics, 107, 103310 (2010)

TF-ThP-16 PEALD BSG PSG Doping Diffusion Characterization
Jeff Shu, Yibin Zhang, Haifeng Sheng, Jinping Liu (GLOBALFOUNDRIES U.S. Inc.)

Continuous CMOS scaling becomes more and more difficult due to the extreme process challenges. After FIN FET device architectures were introduced into the industry at end of 2011, they have been widely adopted by the industry to continue device scaling with improved short channel control and performance at lower supply voltages. Compared to SOI substrate, careful punch-through stopper junction design and STI are required for FINs formed on bulk substrate [1]. A novel subfin doping technique had been reported at the 14nm node, which is achieved through solid-source doping to enable better optimization of punch-through stopper dopants [2]. High dopant concentration below the channel to suppress leakage and low dopant concentration in the channel for high performance & less variability can be achieved by solid-source doping techniques while high impurity concentration in the channel and silicon damage could be caused if ion implantation is used for this subfin doping. In this paper, thorough diffusion characterizations were performed at both BSG (Boron Doped Silicate Glass) and PSG (Phosphorus Doped Silicate Glass) on silicon substrates with different drive-in anneal conditions and different insulator cap options. A novel solid-source doping scheme with BSG for NFET subfin doping and PSG for PFET subfin doping is proposed due to the fact that no enough space exists to continue the traditional dual doped liner (BSG/PSG) scheme on 7nm node and beyond.

[1] K. -I. Seo et al., “A 10nm Platform Technology for Low Power and High Performance Application Featuring FINFET Devices with Multi Workfunction Gate Stack on Bulk and SOI” Symp. VLSI Tech. Dig., p.14 – 15, 2014

[2] S. Natarajan et al., “A 14nm Logic Technology Featuring 2nd-Generation FinFET , Air-Gapped Interconnects, Self-Aligned Double Patterning and a 0.0588 m2 SRAM cell size” IEDM. Tech. Dig, p.71 – 73, 2014

TF-ThP-17 Low Temperature Deposition of nc-Silicon Thin Films using SiH4/H2 mixture
Moniruzzaman Syed (Lemoyne Owen College); Boon Goh (University of Malaya, Malaysia); Nur Fatin Farhanah Binti Nazarudin (University of Malaya, Kuala Lumpur); Alam Jahangir (University of Memphis); Yahia Hamada (Lemoyne Owen College); Atif Mossad Ali (King Khalid University, Saudi Arabia)

Nanocrystalline-silicon (nc-Si) films were simultaneously deposited on glass and single-crystal Si substrates that were exposed to H2 plasma excited using RF power = 80 W prior to the film deposition, under 250oC by plasma enhanced chemical vapor deposition using a SiH4/H2 mixture. Structural changes of the nc-Si films were investigated by X-ray diffraction, Raman spectroscopy, infrared absorption, UV-VIS and AFM measurements. All nc-Si films were deposited as a function of RF power conditions. <110> preferentially oriented nc-Si films were observed to grow suddenly with RF power of 80 W resulted in improved crystalline qualities. These results were examined on the basis of the effect of various mechanisms on the crystalline properties, although these mechanisms may jointly determine the properties.

KEYWORDS: polycrystalline Si, plasma-enhanced chemical vapor deposition, surface morphology of substrates, growth mechanism, crystalline qualities

TF-ThP-19 Low Energy Ion Scattering (LEIS) Analysis of ALD Deposited GaSb Films on SiO2
Thomas Grehl, Philipp Brüner (ION-TOF GmbH, Germany); Rik ter Veen, Michael Fartmann (Tascon GmbH, Germany); Tom Blomberg, Marko Tuominen (ASM Microchemistry Ltd., Finland)

GaSb is a promising candidate as a III-V channel material for p-channel for future metal-oxide-semiconductor field-effect transistors (p-MOSFETs) due to its high hole mobility. Also other applications like thin film solar cells or other optoelectronic applications are being discussed. In many of these applications, a well-controlled deposition of GaSb thin films is required.

During deposition process development, characterization of the early stages of film growth is essential to optimize the deposition conditions and possible pre-treatments of the substrate. Low Energy Ion Scattering (LEIS) is a valuable tool for this: Its key feature is the ability to quantitatively determine the composition of the outermost atomic layer. In addition, it non-destructively obtains information about the composition of the first few nm of the sample. These features make it the ideal technique to follow the nucleation of the film and its development until the film is closed. This includes information about the initial growth per cycle as a function of the cycle number, the accurate determination of film closure, and monitoring of unexpected contamination.

The instrument in this study is equipped with a high-sensitivity and high-resolution energy analyzer to record the energy spectrum of noble gas ions scattered back from the sample surface. The 3 – 8 keV ions undergo binary collisions with surface atoms, leading to a characteristic energy loss depending on the mass of the scattering partner. The energy loss is used for identification, while the intensity is used for quantifying the surface coverage per element. Ions which were scattered at sub-surface atoms are detected with a lower probability due to specific neutralization and reionization effects. At the same time they exhibit a depth-dependent energy loss by traveling through the solid. This explains why on the one hand the surface composition can quantified with ultimate surface sensitivity of one atomic layer, and at the same time the composition of the first few nm can be determined without sputtering.

The GaSb films in this study were deposited on SiO2, using a GaCl3 + Sb(SiMe3)3 chemistry at 110 °C in a Pulsar 2000 reactor. Samples were taken out after 1 – 20 ALD cycles and analyzed using LEIS. As the analysis involved transport of the samples through air, an appropriate method to remove atmospheric hydrocarbons from the surface had to be applied. For this purpose, different treatments were tested for their ability to remove the adsorbents while minimizing the effect on the composition of the surface.

TF-ThP-21 Characterization and Use of Porous Materials for Solid Phase Microextraction by Sputtering and CVD
Massoud Kaykhaii, Tuhin Roychowdhury, Anubhav Diwan, Bhupinder Singh, Matthew Linford (Brigham Young University)

This presentation will focus on both the characterization of new materials for SPME and their use in extracting analytes of interest from complex matrices. Solid phase microextraction (SPME) is an important sampling tool. It consists of placing a coated fiber above a sample (headspace mode) or immersing it in a liquid such that molecules (analytes) of interest can be selectively extracted and concentrated. The captured species are then released by heating or dissolution into a chromatograph for separation and identification. It is a ‘green’ method because no additional solvent is used in this process. We have developed a new class of SPME fibers that offer extraordinary capacity and speed. They are prepared by sputtering a material under conditions that lead to a nanoporous coating on the fiber. When silicon is sputtered under these conditions, its outermost surface can be additionally oxidized, leading to a high density of silanol groups than can be subsequently silanized. For example, the fibers can be derivatized with octadecyldimethylmethoxysilane by chemical vapor deposition (CVD), which creates a hydrophobic extraction medium. The performance of our 2 micron sputtered coatings has been compared to that of thicker (7 micron) commercial coatings. Our fiber consistently outperforms the commercial fiber, showing significantly higher capacity for alcohols, amines, aldehydes, and esters. Real world samples, e.g., hops and PAH from water, have also been analyzed. Different coating thicknesses have been prepared and evaluated. Sputtered coatings have been characterized by X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), and wetting.

TF-ThP-22 Simulation and Characterization of Short Channel Organic Thin Film Transistors Fabricated Using Ink-jet Printing and Imprint Process
Juhyun Bae (Sungkyunkwan University, Republic of Korea); Kyohyeok Kim, Namyong Kwon (Samsung Electronics Co., LTD., South Korea); Ilsub Chung (Sungkyunkwan University, Republic of Korea)

Printable Organic Thin Film Transistors (OTFTs) are of increasing interest for low cost electronic applications. Among those, inkjet-printing has attracted attention in flexible and light-weight electronic products based on OTFTs due to its advantages like non-contact patterning and good local registration without wasting material. In addition, To use inkjet-printed TFTs for commercial productions, it is required to reduce channel length below 10μm because TFTs with channel lengths of 5 and 10 μm are typically used in active-matrix display applications.

In this work, We fabricated short channel OTFTs on a polyethersulphone substrate using inkjet printing combined with an imprint method. 6,13-bis(triisopropylsilylethynyl) TIPS pentacene and polyvinyl alcohol were used for an active material and a gate insulator, respectively. We utilized schematic of bottom contact OTFTs with silver electrode. Imprint method was attempted to precisely separate printing of S/D electrodes has difficulties in controlling the short channel lengths. Thereby we obtain the 2.5um, 3.8nm and 6.4um channel length. This confined structure could dominant big grains instead of dendrite grains. Because confined structure is to reduce the solvent evaporation at the edge of droplet since boundary is blocked. Physical analysis of confined structure was investigated using scanning probe microscopy(SPM). Furthermore, we clearly obtained big grains in SEM images. We found that crystallization of TIPS pentacene is confirmed from big grains and dendrite grains plays an important role in determining the electrical properties. To compare the electrical properties of the two types of grain, we measured the transfer and family curve from OTFTs having big grains and dendrite grains TIPS pentacene for three channel lengths by Keithley-4200.

The mobility and on/off ratio of case of big grains are better than case of dendrite grains. And as the channel length decrease, the on current slightly increases and the off current decreases. However, the threshold voltages and field-effect mobility of OTFTs appeared a small fluctuations in the different channel length.

The experimental IDS-VDS family curves and IDS-VGS transfer curves were numerically well simulated by SILVACO. The simulation is applied the same schematic structure of experiment. Simulation results also obtained that as the channel length decrease, the on current increases and the off current decreases. And threshold voltages and mobility were rarely fluctuations in the difference in the channel length. Therefore, we could admit the simulation results support for the experiment results.

TF-ThP-24 Toward Reliable Production of Well-Structured, Self-Assembled Thin Films of Quantum Dots for Surface Coatings
Cuong Nguyen, JeffreyJ. Weimer (The University of Alabama in Huntsville)

The goal of this study is to deposit Langmuir films of quantum dots (QDs) as reliably well-structured, self-assembled, monolayer films. Such films will be increasingly important as pre-cursors to coat thin-film light-emitting devices, solar cells, transistors, and diode lasers where the uniformity of the film plays a key role in the consistency of its properties. The structure of the Langmuir films is imaged at macroscopic to microscopic scales using optical and Brewster angle microscopy. Image processing and analysis are done to characterize the patterns. Corresponding image analysis is also done on Langmuir-Schaefer (LS) films that have been templated from the Langmuir films, and comparisons are made to the film quality in the two cases. The topography and thickness of the LS films are subsequently measured by scanning probe microscopy. The structure of the Langmuir films is controlled to first order by the concentration and volume used during deposition. Common patterns seen include agglomerates, sheets, and streaks. The structure can be refined by adding an organic co-additive at different molar concentration ratios. Above a certain ratio, the QDs and co-additive show phase separation. Systematic characterization of the relationships between deposition parameters and film structure will bring the Langmuir deposition process from being just a laboratory-scale experiment to being a reliable production-level tool to produce well-structured, self-assembled thin films of QDs for surface coatings.

TF-ThP-25 IN SITU Spectroscopic Analysis of Perovskite/Graphene Hybrid Films for Graphene-Based Perovskite Solar Cells
Seth B. Darling (Argonne National Laboratory, University of Chicago); Muge Acik (Argonne National Laboratory)

Power conversion efficiency in perovskite-based solar cells has improved to ≥20%, however, there is insufficient understanding of the underlying optoelectronic device function. Organolead halide perovskites, MAPbX3 (X=I, Br, Cl), have stood out with their long electron-hole diffusion length and high electron/hole mobility. Replacement of ETL/HTL with graphene-derived materials (graphene oxide, reduced graphene oxide, n/p-doped graphene, etc.) has emerged recently as a pathway to improved device performance. Nevertheless, graphene/perovskite structure-property relationships are not well understood due to unclear chemistry/poor characterization at the interfaces of ETL/perovskite/HTL hybrids (1). To explore interfacial working mechanisms and perovskite film formation, we performed variable temperature (≤600°C) in situ spectroscopy (infrared absorption, micro-Raman, UV-vis-NIR, x-ray photoelectron and luminescence). Our studies targeted perovskite/graphene interfaces and perovskite growth mechanisms to overcome detrimental effects of incomplete lead precursor conversion, inconsistent crystallite formation/film uniformity, weak cation-anion-solvent coordination. Effect of film thickness, lead content, stoichiometry control, underlayer/overlayer composition, and growth temperature were optimized for better film efficiency and charge transport (2). To address film scalability and stability, we studied opto-thermal changes in reduced graphene/graphite oxide (RGO) upon halide-based (CH3NH3PbI3, CH3NH3PbBr3, CH3NH3PbCl3) perovskite deposition, and performed spectroscopic analysis derived from the intensity and peak areas of perovskite vibrational normal modes of C-H (~2800-3200 cm-1) and N-H (~2000-2800 cm-1) and their interfacial reactions with oxygen functional groups in RGO (3). Controlled perovskite formation was achieved at room temperature for bromide/chloride-based perovskites resulting improved chemical stability with heat (vs. iodide derivative) that were decomposed at ≥150°C. Poor perovskite formation was monitored on RGO resulting in film degradation in air (O2, H2O) by in situ characterization (4); additional insights were derived from defect analysis from ID/IG ratio variation at perovskite/RGO interfaces. Film morphology and composition was examined by ex situ XRD, SEM, TEM, and AFM.

(1) M Acik, SB Darling. J. Mater. Chem. A (2016) Advance Article. Doi: 10.1039/C5TA09911K (2) J Gong, SB Darling, F You, Energy Environ. Sci. (2015) 8, 1953-1968 (3) M Acik, G Lee, C Mattevi, M Chhowalla, K Cho, YJ Chabal. Nature Mater. (2010) 9 (10), 840-845 (4) M Acik, C Mattevi, C Gong, G Lee, K Cho, M Chhowalla, YJ Chabal. Acs Nano (2010) 4 (10), 5861-5868.

Use of the Center for Nanoscale Materials was supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. The abstract has been created by UChicago Argonne, LLC, Operator of Argonne National Laboratory (“Argonne”). Argonne, a U.S. Department of Energy Office of Science laboratory, is operated under Contract No. DE-AC02-06CH11357. The U.S. Government retains for itself, and others acting on its behalf, a paid-up nonexclusive, irrevocable worldwide license in said article to reproduce, prepare derivative works, distribute copies to the public, and perform publicly and display publicly, by or on behalf of the Government. Office of Science User Facility under Contract No. DE-AC02-06CH11357. M.A. also acknowledges support from the Joseph Katz Named Fellowship at Argonne National Laboratory.

TF-ThP-26 Synergetic Effect of Nitrogen and Fluorine on the Total Dose Radiation Hardness of the Buried Oxide Layer in SOI Wafers
Zhongshan Zheng (Institute of Microelectronics of Chinese Academy of Sciences, China)

In order to improve the total dose radiation hardness of the buried oxide layer in silicon-on-insulator (SOI) wafers, the buried oxide was modified by a combined implantation of nitrogen and fluorine ions and subsequent anneal processing. The samples were irradiated using Co-60 gamma rays with various doses, and the radiation responses of the buried oxide layers were characterized using the capacitance-voltage (C-V) technique. The experimental results show the considerably increased radiation hardness of the modified buried oxide layers which received a proper post-implantation annealing with the nitrogen- and fluorine-related electron traps introduced. It is also found that the anneal time is a very important variable affecting the radiation hardness for the modified buried oxide layers, and the depth profiles of nitrogen and fluorine in the buried oxide, which are obtained by secondary ion mass spectrometry (SIMS) analysis, each are nearly identical for all the implanted wafers although there are the obvious differences in the buried oxide radiation hardness between the different samples. Additionally, the rebound and fluctuation phenomena of the buried oxide radiation responses have been observed, which can be attributed to the charge trapping and de-trapping in the buried oxide due to irradiation.

TF-ThP-27 The Effect of Vacuum Ultraviolet Irradiation on the Dielectric Constant, Leakage Currents and Time-Dependent Dielectric Breakdown of Low-k Dielectric Films
Dongfei Pei, Weiyi Li, Panpan Xue (University of Wisconsin-Madison); Sean King (Intel Corp); Yoshio Nishi (Stanford University); J. Leon Shohet (University of Wisconsin-Madison)

Plasma-induced damage is a major concern of the application of low-k dielectric materials in the backend of the line (BOEL) of integrated circuits. Plasma processing, which involves reactive radicals, ion bombardment and vacuum ultraviolet (VUV) irradiation, can cause serious effects on the electrical properties of low-k dielectric materials. The contribution of VUV photons to the damage process was studied in this work. Synchrotron irradiation was used to simulate VUV photon irradiation from processing plasmas without any particle flux. The photon flux varies with the wavelength so the irradiation time was chosen to produce the similar amount of photon fluence at each photon energy. The time dependent dielectric breakdown (TDDB), leakage current, k-value, bandgap and mobile charge of the VUV irradiated low-k dielectric films were measured and compared. FTIR, XPS and ESR analysis were applied to the films. An energy threshold for the VUV photons to induce damage of low-k dielectrics was found. TDDB degradation, leakage current increase and mobile charge generation were observed in low-k dielectric films irradiated by the VUV photon with energy above the threshold.

This work was supported by the Semiconductor Research Corporation under Contract 2012-KJ-2359

TF-ThP-28 Fabrication of and Photovoltaic Characterization of SnS Solar Cell
YoungKuk Lee, SeongGu Kang, ChangGyun Kim (Korea Research Institute of Chemical Technology, Republic of Korea)
Tin (II) sulfide (SnS) is a promising candidate to replace current thin film light absorbing materials in photovoltaics. SnS has a moderate band-gap (1.1-1.3 eV) and high absorption coefficient. SnS thin films have been prepared by metal organic chemical vapor deposition (MOCVD) from the reaction of Sn(dmamp)2 and H2S gas as the source materials. The molecular structure of Sn(dmamp)2 is shown in fig. 1. SnS films were deposited on Si and glass substrates at the deposition temperature of 200-400 oC. Post annealing of SnS thin films was carried out at 400 oC for 1 h under the H2S ambient. Hall measurement using van der Pauw method indicate that the film has a p-type conductivity with a hole mobility of 13 cm2/V·s. Raman spectroscopy and x-ray photoelectron spectroscopy results show that SnS thin film has no impurities or other binary phase detected inside the films
TF-ThP-29 Solution Deposition of Pentacene Thin Films for Solar Cells and Organic Electronics
Michael Lee, Ramon Mendoza, Roman Rodriguez, Benjamin Kunzler (Northern Arizona University)

Since bulk conductivity in organic crystals was discovered in the 1960s,[1] high mobilities for single-crystal organic semiconductors have been reported with 35 cm2 V-1 s-1 for pentacene,[2] and even 40 cm2 V-1 s-1 for rubrene.[3], [4] However, these large aromatic molecules are generally insoluble for solution-processing.[5] Optimized films have been prepared by solution-processing derivatized molecules, such as TIPS-pentacene. In TIPS-pentacene, the derivitized groups comprise over half of the mass. Optimized devices using modified pentacene can reach a carrier mobility of only 6 cm2 V-1 s-1.[6]

While much of the decrease is due to the polycrystalline nature of the films, the added functional groups also play a direct role. Their effect has been tested to some extent by synthesizing pentacene with removable functional groups. Preparing a film and removing of the functional groups gave nearly 50% increased mobility, or 8.8 cm2 V-1 s-1.[7]

We present our recent results on using an alternative strategy to directly solution-process unmodified pentacene and other large organic semiconductors into thin films that can be used for organic field-effect transistors and solar cells. This strategy can be extended to other large semiconductors without requiring organic synthesis of new molecules.

[1] H. Kallmann and M. Pope, “Bulk Conductivity in Organic Crystals,” Nature, vol. 186, no. 4718, pp. 31–33, Apr. 1960.

[2] O. D. Jurchescu, J. Baas, and T. T. M. Palstra, “Effect of impurities on the mobility of single crystal pentacene,” Appl. Phys. Lett., vol. 84, no. 16, pp. 3061–3063, Apr. 2004.

[3] V. Podzorov, S. E. Sysoev, E. Loginova, V. M. Pudalov, and M. E. Gershenson, “Single-crystal organic field effect transistors with the hole mobility ∼ 8cm2/V s,” Appl. Phys. Lett., vol. 83, no. 17, pp. 3504–3506, Oct. 2003.

[4] T. Hasegawa and J. Takeya, “Organic field-effect transistors using single crystals,” Sci. Technol. Adv. Mater., vol. 10, no. 2, p. 24314, Apr. 2009.

[5] R. S. Ruoff, D. S. Tse, R. Malhotra, and D. C. Lorents, “Solubility of fullerene (C60) in a variety of solvents,” J. Phys. Chem., vol. 97, no. 13, pp. 3379–3383, Apr. 1993.

[6] Y. Xu, M. Benwadih, R. Gwoziecki, R. Coppard, T. Minari, C. Liu, K. Tsukagoshi, J. Chroboczek, F. Balestra, and G. Ghibaudo, “Carrier mobility in organic field-effect transistors,” J. Appl. Phys., vol. 110, no. 10, pp. 104513-104513–9, Nov. 2011.

[7] K.-Y. Chen, H.-H. Hsieh, C.-C. Wu, J.-J. Hwang, and T. J. Chow, “A new type of soluble pentacene precursor for organic thin-film transistors,” Chem. Commun., no. 10, pp. 1065–1067, Feb. 2007.

TF-ThP-30 Protected Aluminum Mirrors in the DUV Spectral Range for Astronomical applications
Hung-Pin Chen, WenHao Cho, Chien-Nan Hsiao (Instrument Technology Research Center, National Applied Research Laboratories, Taiwan, Republic of China); ChengChung Lee (National Central University, Taiwan, Republic of China)

Protected or enhanced aluminum is widely used for the preparation of highly reflective coatings in the DUV spectral range. For astronomical applications, the DUV reflector demand high quality on these coatings, not only with regard to their optical performance but also to their environmental stability, their thermal properties, and their radiation resistance. In this article, we prepare the protected aluminum mirrors by electron-beam evaporation with ion beam assisted, and optimize the beam voltage and beam current of ion source to improving film quality. Explore the refractive index, absorption and the microstructure by difference ion source parameters. The corresponding optical and mechanical properties of multilayer optical thin film were investigated by in-situ optical monitoring, spectrometer, ellipsometry, and Atomic Force Microscope (AFM). Space environment was partially simulated through the employment of a Co60 gamma (γ) radiation source (Nuclear Science and Technology Development Center), to determinate the optical stability of optical thin films for aerospace applications. The reflectance results were measured at the BL04B Beamline of National Synchrotron Radiation Research Center (Taiwan) in DUV spectral region.

TF-ThP-31 Physical Characteristics of TiOx Thin Films Obtained by DC Reactive Sputtering
Victor Lima, Ioshiaki Doi, José Diniz, Rodrigo César (State University of Campinas, Brazil)

This work presents the results of the physical characterization of TiOx thin films obtained under different deposition conditions such as O2/Ar ratio and deposition plasma power using dc reactive sputtering process. Two sets of the films were prepared, one set varying the sputtering discharge power ranging from 600 W to 1500 W, at constant gas flow ratio of Ar = 60 sccm and O2 = 40 sccm, and 10 minutes deposition time. Other set of the TiOx films were prepared with constant 1000 W deposition power for 10 minutes and gas flow O2/Ar ratio ranging from 0.166 to 0.5. The obtained samples of both sets were all characterized by different techniques, ellipsometry, Raman spectroscopy, Atomic Force Microscopy (AFM) and Scanning Electron Microscopy (SEM) to determine thickness and refractive index of the films, crystalline structure, roughness and surface morphology, respectively. The ellipsometry results show that deposition rate of the samples increases linearly as the power increases, and that the refractive index slightly decreases with the power increment, remaining however between 2.44 and 2.47, therefore close to the typical value of titanium oxide. As for the crystalline structure, the results of the Raman spectroscopy showed Raman shift peaks at 150, 230, 420, 600, 670 and 810 cm-1, corresponding to anatase and rutile form of TiOx thin films, which agree to those results observed by different researchers. The obtained spectra demonstrate also that the brookite structure was not found on the studied TiOx samples. AFM images showed rms roughness ranging from 0.150 nm for the samples obtained at 600 W deposition power to 0.872 nm for those obtained at 1500 W deposition power, that may explained considering increase of temperature at the sample surface and sputtered materials from the target. The c haracterization of the second set of the TiO x samples, showed that deposition rates increases as more Ar is provided to the sputtering atmosphere, as well as the refractive index, this one exhibiting a small increment as O2 ratio is increased, with low standard deviation values, showing deposition of the films with quite homogeneous surface. AFM and Raman results showed very small variations in rms roughness of the samples and crystalline structure when gas flow ratio were varied, remaining around the values of 0.441 nm roughness and Raman peaks of anatase and rutile crystalline structure, similar results as those observed for the first set of the samples. These exhibited properties of TiOx obtained by dc reactive magnetron sputtering technique, make it a interesting material for several applications, for instances for dielectric in semiconducting FETs.

TF-ThP-32 Modification of the Vacuum-ultraviolet Absorption Spectrum during Plasma Exposure of Low-k Dielectrics: A Time-dependent Density Functional Theory Analysis
Ha Nguyen, Faraz Choudhury, J. Leon Shohet (University of Wisconsin - Madison)

Methyl depletion of organosilicate (SiOCH) films due solely to the absorption of plasma vacuum-ultraviolet (VUV) photons has been a crucial concern in plasma processing of interconnects. Research on determining VUV photon penetration into SiOCH films is still of great interest. Several systematic studies [1,2] have been published on how parameters such as absorption coefficient, penetration depth, and quantum yield of methyl depletion chemical processes depend on film porosity, VUV dose, and particularly VUV wavelength. These studies contributed significantly to the advance of this research field. However, these studies did not address an important question of how VUV photoabsorption changes during the course of VUV irradiation. This results in the concentration of Si-CH3 bonds being reduced with time. This in turn affects how the VUV-penetration depth varies with time. In this work, we aim at addressing this question by using time-dependent density functional theory (TDDFT) to model the absorption spectrum in a continuous photon energy range of 0 to 20 eV for an octamethylsilsesquioxane, (CH3)8Si8O12, molecule, which is chosen from among siloxane-based molecular precursors of low-k films as a benchmark case as the first step to understand VUV photoabsorption of SiOCH films. Our calculated results show that for the whole range of VUV photoabsorption energies, the absorption cross-section decreases substantially as the number of Si-CH3 broken bonds increases. This decrease of the absorption cross-section, however, occurs at different rates, which depend strongly on VUV photon energy (e.g., the highest and lowest rates are in the ranges of 10-15 eV and 7-10 eV, respectively). These interesting results suggest that the modification of VUV photoabsorption during plasma processing is a paramount factor to determine the penetration of VUV photons into low-k dielectric films.

This work was supported by the Semiconductor Research Corporation under Contract 2012-KJ-2359.

References:

[1] T. V. Rakhimova et al, Appl. Phys. Lett. 102 (2013) 111902.

[2] T. V. Rakhimova et al, J. Phys. D: Appl. Phys. 47 (2014) 025102

TF-ThP-33 High Moisture-Barrier Films using Roll-to-Roll-Plasma CVD grown SiOx on Room-Temperature ALD treated PEN Substrates
Nobuyuki Kawakami, Norihiro Jiko, Tadao Okimoto (Kobe Steel, Ltd., Japan); Kensaku Kanomata, Fumihiko Hirose (Yamagata University, Japan)

Flexible electronic devices are expected to extend its commercial applicability for the bendable applications. Barrier coating depositions on plastic substrates, such as, polyethylene terephthalate (PET) and polyethylene naphthalate (PEN), are essential, because water vapor passes through the plastic films, which might degenerate the performance of electronics devices. It is also essential to enhance the productivity of the barrier coating while minimizing its process costs, where the roll-to-roll process is expected to be a candidate. So far, the roll-to-roll type plasma enhanced chemical vapor deposition (PECVD) system for the SiOx coating was developed where its barrier ability of water vapor transmission rate (WVTR), was at as low as 5×10-4 g/m2/day with the 500 nm thick coating on PEN [1]. However, further improvement of WVTR down to below 10-6 g/m2/day is still demanded for organic light emitting diodes (OLEDs) .

In this study, the SiOx coating is deposited by the roll-to-roll PECVD, combined with room temperature atomic layer deposition (ALD) of AlOx to form a stacked structure on the PEN substrate. The SiOx coating was processed by a PECVD roll coating system (Kobe Steel, Ltd., W35-350CS), where hexamethyldisiloxane (HMDSO) was used as precursor mixed with oxygen. The ALD of AlOx was performed using trimethylaluminum (TMA) and remote-plasma-exited water vapor, where the plasma was generated with a mixture of water vapor and argon by an induction coil with a frequency of 13.56 MHz [2]. In order to simplify the whole process, the single ALD layer was inserted for the barrier film formation. The stacked structure consisting of CVD-SiOx/ALD-AlOx/PEN substrates was used for the experiment. The barrier performance was measured by AquatranTM produced by MOCON Inc. with a temperature of 40 °C and a relative humidity of 90 %.

The stacked structure of 300 nm thick CVD-SiOx on 10 nm thick ALD-AlOx exhibited the WVTR below 5×10-4 g/m2/day (This is the detection limit of AquatranTM), whereas the film with a single layer of 300 nm thick CVD-SiOx deposited directly on PEN had a WVTR of 3.38×10-3 g/m2/day. As a view point of productivity, it is notable that the thin inserting ALD layer drastically effects on the barrier performance. We consider that the present layer-stacking approach is used for the high moisture-barrier films since this technique goes well with the roll-to-roll production.

[1] T. Okimoto et al., The 21th International Display Workshops Proceedings (2014) 1448-1451.

[2] K. Kanomata et al., 15th International Conference on Atomic Layer Deposition Technical Program & Abstracts (2015) 442.
TF-ThP-34 Determination of the Characteristic Times of Surface Coverage of HfO2 in Si Substrates by ALD
Pierre Giovanni Mani-Gonzalez (UACJ, Mexico); MariaMagdalenaMontserrat Contreras-Turrubiartes (UASLP, Mexico); PerlaElvia Garcia-Casillas, Hugo Leos-Mendez (UACJ, Mexico); Heber Hernandez-Arriaga (UASLP, Mexico); JesusAlfredo Hernandez-Marquez, JoseLuis Enriquez-Carrejo (UACJ, Mexico); MiguelAngel Melendez-Lira (CINVESTAV-IPN, Mexico); Edgar Lopez-Luna (UASLP, Mexico)

Actually atomic layer deposition has been used for electronic devices ensemble. The high quality at the interface allows the use of this technique as a deposition method. But when growing any material it is important to think in three important points: the aperture-times of each precursor, the number of ALD cycles and the time of surface saturation. The present work shows the process of surface saturation as function of pressure and physical models. This way of obtaining films is innovative because it has not been considered in every ALD equipment. Also, increasing the superficial area, stoichiometric control and thickness. Those features can be controlled using variables such temperature. In previous research it was found that an interface is formed and some defects in film when it is grown by ALD. Those works do not consider this proposed model.

TF-ThP-37 Linear Scanning Magnetron for Solar Cell PVD Applications
Vladimir Kudriavtsev, Alexandru Riposan, Lisa Mandrell, Chris Smith, Terry Bluck (Intevac)

In this presentation we discuss Linear Scanning Magnetic Array (LSMA) technology for magnetron sputtering in

conjunction with in-line substrate processing. In this approach, the magnet array (pole) scans over planar target

spreading the erosion pattern in a controlled fashion. Thus, high quality, dense metal films with good uniformity can be

produced at significant advantages over static magnetrons, such as significantly higher target utilization, longer

uptime, and prevention/removal of target defects related to re-deposition.

We review the influence of magnet motion acceleration/deceleration, the influence of endpoint motion offset

(stagger), and the influence of magnet - to - substrate velocity ratio, on target utilization and lead-to-trail edge film

uniformity. Trade-offs between uniformity and target utilization were established and characterized.

The optimization method we use employs a combination of theoretical simulations and experimental

measurements. Theoretical analysis utilizes ANSYS static magnetic field simulations, erosion profile calculations

including motion integration effects, and ray tracing method for sputtering film thickness calculations

(MATLAB). The structure and uniformity of LSMA-deposited thin films was characterized experimentally by

XRF, 4 point probe and SEM, and the target erosion measured by weight and erosion profiles of spent targets.

We have demonstrated that, with a judicious design approach, an optimal range of operating parameters can be

defined and target utilizations in 60-70% range can be reached, while maintaining deposition uniformity below 2% with

excellent film properties. This makes the LSMA plasma source (using planar targets) more economically

competitive than static and rotatable magnetrons.

TF-ThP-39 Chemical Vapor Deposition of Manganese Nitride from bis(2,2,6,6 tetramethylpiperidido) Manganese (II), Mn(tmp)2, and Ammonia
Elham Mohimi, Brian Trinh, Shaista Babar, Gregory Girolami, John Abelson (University of Illinois at Urbana Champaign)

Manganese nitride can be synthesized in numerous phases whose solid-state properties are attractive for spintronic, magnetic or microelectronic applications. It is desirable to develop chemical vapor deposition (CVD) routes for manganese nitride films compared to physical vapor deposition (PVD) paths, since CVD can conformally coat high aspect ratio (deep) features, which are increasingly used in the architecture of nanoscale devices. However, lack of suitable precursors for deposition of manganese nitride hindered its development in novel applications where a conformal thin film is required.

Here, we report CVD growth of amorphous manganese nitride films, from a novel and practical precursor, bis(2,2,6,6 tetramethylpiperidido)Manganese (II) Mn(tmp)2 and ammonia as co-reactant. Growths are done in a high vacuum chamber at substrate temperature of 50-350 °C. Precursor container is heated at 60 °C and Ar carrier gas passes through the container at flow rates of 5-40 sccm to deliver precursor to the chamber. Ammonia is delivered through a separate line at pressures from 0-13 mTorr.

XPS reveals a bulk Mn:N ratio of 2.6:1 to 2.8:1 for films grown in the temperature range examined, with no carbon contamination within the detection limits of instrument. All films show a nodular microstructure in cross-section SEM, with rms roughness of 0.4 and 0.5 nm for films grown at 50 and 150 °C, respectively. We propose a transamination reaction between precursor and ammonia to be responsible for the nitride growth, as no reaction is observed in the absence of ammonia. Films are conformal in micro-trenches of aspect ratio 3, while having a directional growth component which led to higher thickness at the opening of the features. Copper diffusion barrier properties of a 12nm manganese nitride film is investigated by deposition on 300 nm thermal silicon oxide/Si substrate, and e-beam evaporation of 200 nm copper on top of manganese nitride film, followed by annealing at 500 °C under Ar for 1 hour. Samples were analyzed by Auger electron spectroscopy for depth profile composition, and compared with a reference sample of no diffusion barrier. Results show that manganese nitride performs well as copper diffusion barrier for microelectronic applications.

TF-ThP-40 Effect of Substrate Temperature and Pulse Frequency on the Properties of SiC Film on Si (111) Deposited by Pulsed dc Magnetron Sputtering
Hung-Pin Chen, Chao-Te Lee, Po-Kai Chiu, Donyau Chiang, Wei-Chun Chen (ITRC, National Applied Research Laboratories, Taiwan, Republic of China); Sin-Liang Ou (Da-Yeh University, Taiwan, Republic of China)

In this work, the SiC thin film was deposited on Si(111) substrate by a pulsed dc magnetron sputtering deposition for developing the suitable buffer-layer between GaN film and Si substrate. The SiC thin film was prepared from a high purity (99.999%) SiC target and deposited on Si(111) at conditions with various substrate temperatures (600~900 ℃) and pulse frequencies (10~100 kHz) by a pulsed-dc magnetron sputtering. Effects of process parameters on the film composition, microstructure, surface roughness, and electrical properties were investigated by field emission scanning electron microscopy with energy dispersive X-ray spectroscopy (FESEM-EDX), X-ray diffraction (XRD), high resolution transmission electron microscopy (HRTEM), atomic force microscopy (AFM), Raman spectrometer, and Hall-effect measurement, respectively. The research goal is to obtain preferred orientation along SiC (111) and root-mean-square surface roughness below 0.5 nm. It is expected that the high quality GaN layer can be epitaxial grown on Si substrate with SiC interface layer.

TF-ThP-41 Reactive Magnetron Sputtering of Epitaxial Scandium Nitride for High Performance Electronics
Amber Reed (Air Force Research Laboratory, Wright Patterson Air Force Base); David Look, Vladimir Vasilyev (Air Force Research Laboratory, Wright-Patterson Air Force Base); Hyung Jeon, Hadley Smith, Maxwell Schmitt (Air Force Research Laboratory, Wright Patterson Air Force Base); John Cetnar (Air Force Research Laboratory, Wright-Patterson Air Force Base); Brandon Howe (Air Force Research Laboratory, Wright Patterson Air Force Base)

With technological advances in electronics increasing the need for high performance devices (i.e. high power-high speed), there has recently been a surge in research in transition metal nitrides. The inherent mechanical, chemical and high temperature stability of transition metal nitrides make them ideal candidates for high-performance high-temperature electronics. Scandium nitride (ScN) is of particular interest for incorporation into gallium nitride (GaN) based electronics. Stoichiometric ScN is an n-type III-V semiconductor with a moderate band-gap (2.1-2.4 eV) and high reported carrier concentrations (up to 1021 cm-3). With its rock salt structure and lattice constant of 4.51 nm, ScN has great potential for hetero-epitaxial growth on sapphire (Al2O3) and magnesium oxide (MgO). In addition, its close lattice match with GaN (<0.1% mismatch) makes ScN a good candidate for use as a buffer layer for hetero-epitaxial GaN on silicon (Si), in ScN-GaN heterostructures, or as an ohmic contact for GaN devices. Incorporation of ScN films into GaN devices requires high quality (i.e. low surface roughness, large grain-oriented crystals, low oxygen contamination) films.

In this work we investigate hetero-epitaxial growth of ScN films on GaN, Al2O3 <0001>, and MgO <100> substrates using unbalanced reactive magnetron sputtering with external electro-magnetic coils. The effect of coil current, target power and nitrogen gas fraction on film stoichiometry, microstructure and surface morphology was investigated by correlating film properties, determined through x-ray photoelectron spectroscopy, x-ray diffraction, transmission electron microscopy and atomic force microscopy, with the deposition parameters and plasma conditions during film growth. Hall measurements of the films showed that resistivity and mobility were strongly dependent on crystalline quality and ScN crystal orientation. The Hall mobility of (111)-oriented ScN films on (0001) sapphire increased from 0.95 cm2/(V*S) to 7.8 cm2/(V*S) and the resistivity decreased from 1.57x10-3 W cm-3 to 6.52x10-4 W cm-3 as the full width half maximum of the ScN (001) x-ray diffraction peak decreased. The transport properties of the (100)-oriented ScN were significantly better than those of the (111)-oriented films with mobilities > 80 cm-2/(V*s) and resistivities < 1.77 x10-5 W cm-3.

TF-ThP-42 Amorphous Phase Content Determination in TiO2 Thin Films on Glass Substrates using the PONKCS Approach
Tomas Malek, Stanislav Danis (Charles University in Prague, Czech Republic); Lenka Matejova (Technical University of Ostrava, Czech Republic); Marie Cerhova (Czech Academy of Sciences, Czech Republic)

Polycrystalline titania oxide are of great interest recently namely for their photocatalycal properties. Samples of TiO2 could be prepared as polycrystalline powder (nano-powder) and/or in the form of polycrystalline thin films. In our contribution we will present structural studies of thin layers prepared on different substrates (amorphous glass and crystalline silicon) by dip-coating method. A set of layers were analysed all of them synthetized via sol-gel process controlled within reverse micelles of nonionic surfactant Triton X-114 in cyclohexane combined with pressurized water extraction and/or supercritical/pressurized methanol drying. Obtained thin films were heated up to 400oC for 4 hours in order to obtain crystalline phase. However, some amount of non-crystalline phase of TiO2 could be expected due to preparation procedure. In case of powder the amount of the non-crystalline part can be determined using internal standard, for example. Unfortunately, this technique cannot be used in the case of thin layer. We show how to apply PONKCS method [1] in order to at least estimate the amorphous phase concentration within prepared samples on the glass substrate.

The presented work is supported by the Grant Agency of the Czech Republic by the project No.14-23274S.

[1] Scarlett, N.V.Y., Madsen, I.C. (2006), Powder Diffraction, 2006,21(4), 278-284

Keywords: thin films , quantitative phase analysis, amorphous phase

TF-ThP-43 The Atomic Layer Deposited SrTiO3Films using Thin Seed Layer and their Improvement of Dielectric Properties for DRAM Capacitor
Sang Hyeon Kim (Samsung Electronics, Republic of Korea); Cheol Seong Hwang (Seoul National University, Republic of Korea)

Dynamic random access memory (DRAM) plays the role as main memory in computers and mobile electronic devices. Further evolution of DRAM requires increase in change density of capacitors. However, the currently using ZrO2/Al2O3/ZrO2 stacked dielectric layer is facing its limitation for further scaling due to the increased leakage current. Thus, perovskite structured SrTiO3 (STO) material is attracting great attention as a future dielectric material in DRAM capacitors. Atomic layer Deposition (ALD) is the most suitable method for DRAM capacitor application which requires excellent conformality on complicated three-dimensional structure with an aspect ratio of 100:1.

ALD of STO films has been researched at a high growth temperature of 370 °C in a series of investigations by the authors’ group in order to achieve in-situ crystallization. (1),(2) However, abnormally too high growth rate at initial stage of film growth on Ru electrode, which is the most probable electrode material, was observed,(2) due to the involvement of CVD-like growth behavior. In this study, therefore, 1.5-nm very thin seed layers were deposited under low temperature to make dense seed layers. As a result, the dielectric constant was improved from ~160 to ~270 which was one of the best results of the STO dielectric material. STO films were deposited in a traveling-wave-type ALD reactor (CN-1 Co, Plus-100) for a 4-in.-diameter single wafer. Sr(iPr3Cp)2 and Ti(Me5Cp)(OMe)3 (synthesized by Air Liquide) were employed as the Sr and Ti precursors, respectively. H2O and high density (250g/m3) O3 were employed as oxygen source for SrO and TiO2 sublayers, respectively. The ALD saturation curve was confirmed in SrO and TiO2 deposition, and the deposition showed a linear growth behavior with respect to the number of deposition cycle with no indication of abnormal growth at the initial stage. In STO deposition, cation composition (Sr/(Sr+Ti)) was evaluated from 50% - 70%. Electrical properties of the (top) RuO2 / STO / Ru (bottom) planar capacitor were estimated to confirm the feasibility of the next generation DRAM capacitor applications.

(1) Woongkyu Lee et al., Chem. Mater.2015, 27, 3881-3891.

(2) Woongkyu Lee et al., Chem. Mater. 2013, 25, 953-961.

TF-ThP-44 Water Cooled Low Temperature Evaporation (LTE) Source for Thin Film Organic Semiconducting Materials
Salahud Din (Kurt J. Lesker Company, UK)

Rapid advances in research and development in organic electronics have resulted in many exciting discoveries and applications, including organic light-emitting devices for information display and illumination, solar cells, photodetectors, chemosensors, and logic devices. Organic semiconducting materials are broadly classified as polymeric or small molecular. For the latter category, solvent-free thin film deposition techniques are generally preferred to form well-defined interfaces and improve device performance. Controlled deposition of organic semiconductor materials has become more and more important. Due to lower process temperatures (up to ~500C), the manufacturing of organic electronic devices such as light emitting diodes (OLEDs) and other electronic devices is less energy consuming than conventional silicon based electronics. Additionally, these low temperatures also make it possible to use flexible substrates, e.g. plastic films, which can serve as a basis for elastic electronic devices. The crucial part of the fabrication is the deposition of the active organic layers (films) with a layer thickness between 10 and 100 nanometres. It is mostly done by thermal evaporation in a high vacuum environment. The mobility of the charge carriers within the layers and the layer morphology strongly depend on the deposition rates, i.e. the increase in layer thickness with time during the deposition process. The desired deposition rates range between 0.01 and 5 Angstrom per second.

Kurt J. Lesker Company has developed thin film LTE deposition sources which can deposit a wide range of organic materials with precise deposition rates and thickness control. These sources can be coupled with quartz crystal monitors (QCM) and closed loop PID control systems to ensure consistent high-quality results. The process can be performed at very high levels of vacuum allowing for a long mean free path and therefore lower tendency to introduce film impurities. High deposition rates can be achieved and lower energy particles can reduce substrate damage. Low temperature evaporation sources can take hours to cool in a vacuum system before venting is possible to replenish. This increases device fabrication tact times, reduces throughput and creates the need for more sources in larger platforms. Kurt Lesker’s water cooled LTE source has the ability to cool down 3.7x times faster against the standard LTE source, saving precious time while maintaining precise thin film growth during deposition process.

TF-ThP-45 Reactive RF Magnetron Sputtering of Vanadium Oxides: Substrate Bias Issues
Sergey Jr. Maklakov, Viktor Polozov, Ilya Ryzhikov, Vladimir Kisel (Institute for Theoretical and Applied Electromagnetics RAS, Russian Federation)
Thin films of vanadium oxides are widely applied functional coatings for electronics. Non-stoichiometric nanocrystalline VOx films possess low thermal activation energy (~ 0.1 eV). These films serve as infrared detectors in uncooled bolometers. Under certain annealing conditions, VOx films undergo recrystallization, and stoichiometric VO2 oxide may be formed. Crystalline VO2 films possess metal-insulator transition at 68C. These VO2 films works as switches for transmission lines for terahertz and microwave range. All these VOx coatings are frequently deposited through magnetron sputtering.

Although reactive sputtering of vanadium in oxygen-containing atmosphere has been studied since 1960's, there are several points that still not clear. It is well known, that when a negative DC bias are applied to substrate, it provides additional energetic treatment of a growing film. It causes re-sputtering of a surface layer and increases effective surface temperature of a growing coating. As a result, films, grown under the bias conditions, are depleted with light elements and show decreased concentration of admixtures. These effects are studied well for biases more than -20 V (J. Phys. D: Appl. Phys. 39 (2006) 2220–2223). We present experiment which shows that substrate bias of low value may influence chemical reactivity of reactive components, giving similar results to large biases.

Series of VOx thin films was deposited by means of unbalanced RF magnetron source in Ar + O2 gas mixture. Oxygen-depleted films appear as black coatings with metal conductivity. Oxygen-rich films are yellow dielectrics. Oxygen content may be easily controlled through gas composition, which is a common knowledge. Gas mixture (13.6 % O2 for a vacuum facility applied) which gives transient VOx films between oxygen depleted and oxygen rich compositions, was applied for the experiment. Negative bias of a few volts (starting from -1 V), which is comparable to a floating potential, reduces vanadium oxidation state from V+5 down to V+2. No biased substrate, under designated conditions, results in VOx films, substantially consisting of V+5. Observed effect cannot be explained by resputtering process. Resistivity of VOx films in the series varies by 5 orders in magnitude. Reduction of vanadium oxidation state also changes the Meyer-Neldel rule type from conventional to inverse. This phenomenon may be caused by changes in oxygen reactivity associated with variations in RF discharge characteristics.

The study was financially supported by the Russian Foundation for Basic Research under grant No. 16-33-01089.

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2016 Schedule