AVS2016 Session TF-TuM: Advanced CVD and ALD Processing, ALD Manufacturing and Spatial-ALD

Tuesday, November 8, 2016 8:00 AM in Room 105A

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2016 Schedule

Start Invited? Item
8:00 AM TF-TuM-1 An Analytic Expression for Reactant Utilization in CVD and ALD Chambers
Edward McInerney (Lam Research Corporation)

Recent advances in semiconductor device performance have depended, in part, on the adoption of new materials into the fabrication process. One of the challenges introduced with these materials is cost. In particular exotic reactants for CVD and ALD processes are often quite expensive. To use these materials efficiently, deposition chambers and processes must be designed to maximize reactant utilization while maintaining good deposition uniformity and film properties. In this presentation, an analytic expression for reactant utilization will be developed for CVD and ALD processes in parallel plate stagnation flow reactors. This expression will then be used to highlight the various approaches to achieving good utilization.

8:20 AM TF-TuM-2 Growth of Silicon Films at Room Temperature Using Electron Enhanced Atomic Layer Deposition
Jaclyn Sprenger, Andrew Cavanagh, Huaxing Sun, Steven M. George (University of Colorado, Boulder)

Electron enhancement can dramatically reduce the temperatures required for ALD. Electrons can desorb surface species, such as hydrogen, and create “dangling bonds”. These “dangling bonds” can facilitate reactant adsorption. Using sequential electron and reactant exposures, low temperature growth should be possible for the ALD of Si, SiNx, SiCx, C, and CNx films that can be grown with hydride precursors. In this work, silicon films were deposited at room temperature using sequential Si2H6 (disilane) and electron exposures.

Silicon film growth using an electron flood gun was monitored with an in situ spectroscopic ellipsometer in the high vacuum chamber. A silicon growth rate of 0.2 Å/cycle for sequential Si2H6 and electron exposures was observed at room temperature with electron energies of 50 eV. In situ Auger electron spectroscopy (AES) revealed strong silicon AES signals with ~15 at.% carbon and <4 at.% oxygen impurities. Ex situ x-ray photoelectron spectroscopy (XPS) analysis was consistent with the in situ AES measurements.

Silicon films were also grown using electrons from a DC glow discharge plasma in a spatial ALD chamber. Deposition was performed at room temperature on 150 mm Si wafers. Ex situ spectroscopic ellipsometry measurements obtained a linear growth rate of 0.24 Å/cycle for sequential Si2H6 and electron exposures. This growth rate is in excellent agreement with the growth rate measured in the high vacuum chamber. Uniform silicon film thicknesses were obtained with a variation of only 3.0% along the axis of the slit from the DC glow discharge plasma. XPS depth-profiling analysis revealed that the silicon films contained ~10 at.% carbon.
8:40 AM TF-TuM-3 Chemical Vapor Deposition within the ALD window – Quantitative Analysis of Precursor Surface Kinetics in Thin Film Formation
Michael Reinke, Yury Kuzminykh, Patrik Hoffmann (Empa, Swiss Federal Laboratories for Materials Science and Technology, Switzerland)

All chemical vapor deposition (CVD) processes rely on the decomposition of precursors on the substrate to deposit the desired material. While in thermal CVD, high substrate temperatures are employed to induce pyrolytic decomposition of the adsorbed precursor molecules, lower temperatures are applied in atomic layer deposition (ALD) to deliberately avoid pyrolysis of the precursor and favor self-saturating surface reactions between two or more reactive partners.

A crucial aspect in ALD processes is the proper separation of reactive partners in order to prevent spontaneous gas phase condensation; this is most commonly achieved in a vacuum process where the reaction volume is sequentially filled with one of the different reactive partners and their exposure is separated by a purge time. Contrary, in spatial ALD the substrate is moved through different reaction volumes that are continuously filled with one reactive partner allowing decreased cycle times and, consequently, increased growth rates.

An alternative way of separating reactive precursor molecules is realized in a high vacuum chemical vapor deposition (HV-CVD) process. If the background pressure during the deposition is sufficiently low, the free mean path of precursor molecules exceeds their trajectory length between effusion source and substrate – in this way gas phase reactions are avoided and the substrate can be simultaneously exposed even to reactive ALD chemistries.

Exemplary, we will review in detail the thin film deposition process of titanium dioxide utilizing titanium tetraisopropoxide (TTIP) and water. We demonstrate the continuous CVD growth of titanium dioxide thin films within the ALD window and show that even selective growth methods applicable in ALD are suitable for HV-CVD processes.

We will discuss a comprehensive surface kinetic model of the TTIP surface reactions, including hydrolysis and pyrolysis. The model was fitted to the large number of experimental results and can describe the experimental observations ranging from thermal CVD depositions to co-depositions with water in the ALD window. The model’s good agreement with the experimental data in a wide parameter range suggests its high relevance.

The proposed model and the derived process parameters can be used for quantitative predictions of the precursor behavior in CVD processes, such as prediction of growth rates, deposition efficiencies and pyrolytic decomposition threshold. It reveals furthermore insight in the ALD process itself and allows modelling of the ALD growth rates - including the position of the ALD window.

9:20 AM TF-TuM-5 A Rotation Fluidization Coupled Atomic Layer Deposition Reactor for Nanoparticle Coating
Chen-Long Duan (State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, China); Rong Chen (State Key Laboratory of Digital Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, School of Optical and Electronic Information, Huazhong University of Science and Technology, China); Kun Cao (Huazhong University of Science and Technology, Wuhan, China)

Atomic layer deposition (ALD) is an attractive approach for atomically controllable and conformal coatings on nanoparticles (NPs) for the fields of catalysts, optical detections, biomedicines, etc. There have been many kinds of ALD reactors for particles. Some of these designs are static reactors which rely on long time precursor diffusion to coat particles. Fluidized bed reactors utilize gas flow to disperse nanoparticles for enhanced gas-solid interactions, though obtaining steady fluidization of nanoparticles and limited precursor residence time are challenges. Rotary reactors disperse particles through rotary agitation and increase precursor usage by a static exposure stage.

In this talk, a rotation fluidization coupled atomic layer deposition reactor will be introduced. Such design allows the fluidization to facilitate the precursor transport in the particle bed and intensify the dynamic breaking up of the particle agglomerates to expose particle surfaces to precursors. In the deposition procedure, the coating process could be expedited due to the enlarged and homogenized void fraction in the particle bed, large gas distribution area and higher particle concentration in the rotating fluidized bed. The rotation not only enhances the gas-solid interactions to stabilize fluidization, but also provides large centrifugal force to break up soft agglomerates together with the fluid drag force derived from gas-solid interactions and the collision between particles. In situ mass spectrometry monitoring of the reaction was performed to optimize the coating process. Under high precursor feed rate, the precursor utilization was improved from below 80% to nearly 100% with thicker rotating bed. The microscale morphology of the coating layers, the macro statistical element mass concentrations and the changes of specific surface area as well as the size distribution after coating confirmed the uniformity and conformity of coatings on individual particles. As an example, magnetic Fe3O4 nanoparticles have been uniformly coated with ultrathin Al2O3 passivation layers using this reactor. With 5nm coating layer, the nanoparticle could be stable under oxidation resistance with minimum magnetization loss (less than 10%). This is quite attractive in practical magnetic based biomedical applications. Well controllable amorphous Al2O3 passivation layers were also deposited on crystalline AlH3 particles to postpone their decompose process, which could enhance the safety storage or transportation of these energetic materials.

9:40 AM TF-TuM-6 Atmospheric Pressure ALD in Porous Substrates: The Effect of Pressure on Step Coverage
Ellis Balder, Fred Roozeboom, Paul Poodt (Holst Centre / TNO, Netherlands)

ALD is renowned for its ability to deposit thin films into high aspect ratio structures with step coverages realized that are unparalleled by other gas-phase deposition techniques. This is one of the reasons ALD has become a key deposition technique in microelectronics fabrication. In the past years, Spatial ALD concepts have evolved for high throughput, large-area and roll-to-roll ALD applications in e.g. photovoltaics and flexible electronics. A new challenge for Spatial ALD is coating inside porous and 3D substrates, e.g. for applications in energy storage, catalysis and membranes. In many cases, Spatial ALD is performed at atmospheric pressure.

There are several studies where the relation between precursor dose and step coverage has been investigated. The most famous one is the kinetic model derived by Gordon et al. [1] that gives an analytical estimation of the precursor dose required to conformally coat a pore as a function of its aspect ratio. The pressure dependence of conformal coating in pores is in the transport of precursor molecules by diffusion along the length of the pore, given by the diffusion coefficient. Unfortunately, the diffusion coefficient is not a variable in the Gordon model.

We have derived an alternative kinetic model that makes use of similar assumptions as used in the Gordon where the pressure dependence of the diffusion coefficient was included. Three regimes can be identified for diffusion inside pores: pressure dependent Fickian diffusion for large diameter pores, pressure independent Knudsen diffusion for small pore diameters and a transition regime between the two. Combining the pressure dependence of the diffusion coefficient, the kinetic model and experimental data we can calculate the required precursor dose required to conformally coat a pore as a function of pore diameter and reactor pressure. A similar analysis can be performed on the required purge time to empty a pore of reactants.

The main results we will show are that 1) for pores smaller than ~1 µm diameter, there is no difference in atmospheric and low pressure ALD with respect the required precursor dose, 2) for larger pores there is a pressure dependence of the diffusion coefficient and 3) in terms of deposition rate it is beneficial to use higher reactor pressures to allow high precursor partial pressures leading to high diffusion- and reaction rates. Based on these experimental and modeling results we will finally give an outlook to the feasibility of large-area or roll-to-roll atmospheric pressure Spatial ALD of conformal coatings in high aspect ratio substrates.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
11:00 AM TF-TuM-10 New Spatial ALD platform for Semiconductor Manufacturing
Joseph Yudovsky (Applied Materials, Inc.)
Adoption of Atomic Layer Deposition (ALD) for semiconductor manufacturing has more than doubled over the past 3 years driven by inflections in both logic and memory devices from planar to 3D structures requiring conformal deposition and is set to double again over the next 3 to 5 years as the need for continued device scaling is expected to drive the need for unique and differentiated ALD films. The use of Spatial ALD techniques serves as a disruptive means compared to conventional ALD processes to address the needs of this rapidly growing market. Though Spatial ALD is not new, having been used in volume production for solar cells and Roll-to-Roll systems, adapting spatial ALD technology for the semiconductor market where device performance requirements demand a magnitude higher level of film deposition control faces numerous challenges. In this presentation, we will review the hardware challenges associated with adapting spatial ALD technology to high volume semiconductor manufacturing, and our design approach used in developing a new ALD platform to address those challenges.
11:40 AM TF-TuM-12 Spatial MLD of Polyamide Films on Flexible Substrates using a New Rotating Cylinder Reactor in a Custom Oven
Daniel Higgs (University of Colorado Boulder); Steven M. George (University of Colorado at Boulder)

Molecular layer deposition (MLD) is usually restricted by the low vapor pressure of the organic reactants. This low vapor pressure could be especially problematic during spatial MLD when rapid substrate speeds limit the reactant exposure times. To address this problem, we have developed a new spatial MLD reactor. The new spatial MLD reactor is based on a rotating cylinder inside an outer fixed cylinder with dosing, pumping and purging modules. In addition, the spatial MLD reactor and the reactants are both located in an isothermal environment using a custom oven. This isothermal environment avoids any possible cold spots in the apparatus.

We have used the new spatial MLD reactor to deposit a polyamide network polymer on a metalized PET polymer substrate. The polyamide network polymer is derived from trimesoylchloride and m-phenylenediamine. This polyamide network polymer has application as a reverse osmosis membrane for desalination. We observed polyamide MLD growth rate of 4.5 Å/cycle at 115°C. The rotating cylinder can be rotated at up to 200 RPM and achieves substrate speeds up to 3 m/s. This reactor can produce growth rates of up to 14 Å/s. The growth of the polyamide MLD film is linear with number of MLD cycles. We could routinely grow polyamide MLD films with thicknesses of 4000 Å in 5 minutes. Polyamide MLD film growth was confirmed using transmission Fourier transform infrared spectroscopy (FTIR) and microRaman spectroscopy.

In addition to the desalination membrane application, these polyamide network polymer films will be useful for flexible gas diffusion barriers. One major difficulty for ALD gas diffusion barriers on polymers is the presence of particles on the initial polymer substrate. These particles mask the polymer surface during ALD and then “fall off” leaving behind pin-hole defects in the ALD film. One solution is to bury all the particles in a thick MLD film and then perform ALD on the surface of the MLD film. We have recently coated a PEN polymer substrate with a MLD polyamide film with a thickness of ~1 µm. After deposition of a 50 nm Al2O3 ALD gas diffusion barrier film, the number of pin-hole defects in the Al2O3 gas diffusion barrier film reduced by an order of magnitude.

12:00 PM TF-TuM-13 Spatial Atomic Layer Deposition for Porous and Fibrous Materials
Gregory Parsons, Alexandra Brozena, Christopher Oldham (North Carolina State University)

Although there are few thin film deposition methods which can coat complex substrates, such as fibers and membranes, with as high a degree of conformality as atomic layer deposition (ALD), slow growth rates and other scaling limitations have prevented the industrial application of ALD to these materials.

To increase the speed of thin film deposition, researchers have developed spatial ALD as way to scale up traditional ALD systems. By flowing reactants continuously through alternating channels and moving the substrate beneath these reactant flows, thin films can be deposited at rapid speed. However, current spatial ALD systems are designed for solid or solid-backed substrates, such as silicon wafers. The technique has not yet been demonstrated on porous or fibrous substrates, such as woven or non-woven textiles.

To achieve rapid spatial ALD growth on these kinds of porous materials, we modeled and built a flow-through spatial ALD reactor for roll-to-roll deposition of Al2O3, using non-woven polypropylene fabric as the test substrate. The tool is operated under open atmospheric conditions and does not use expensive vacuum equipment. The reactor’s shower-head design utilizes alternating gas-flows of nitrogen, trimethyl aluminum, and water to produce three complete ALD cycles for a single traversal of the substrate. More ALD cycles can be additively deposited with increasing passes of the substrate. The gases flow through the material and are vented away using a slight negative pressure generated by facility exhaust. With this prototype flow-through spatial ALD reactor, we study how gas flow rates, fabric porosity, and web speed affect self-limiting ALD growth. By monitoring changes to the surface energy of the polypropylene using water contact angle and comparing the spatial ALD coated materials to batch-coated samples, we learn what conditions are necessary to achieve high-throughput, roll-to-roll ALD coatings on porous samples.

Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2016 Schedule