AVS2012 Session PS2-TuA: Plasma Surface Interaction during Plasma Etching

Tuesday, October 30, 2012 2:00 PM in Room 25

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule

Start Invited? Item
2:00 PM PS2-TuA-1 Surface Interaction Mechanisms Enabling Plasma-Enhanced Strongly Time-Dependent Etching Rates
Dominik Metzler, Evelina Vogli, Felix Hilpert, Gottlieb Oehrlein (University of Maryland)

There is great interest in establishing directional etching methods capable of atomic scale resolution during fabrication of highly scaled electronic devices. We report a new concept to achieve controlled, self-limited etching of extremely thin layers of material using a polymer as a special case. The work was performed in a capacitively coupled plasma reactor. The polymer material is a 248nm photoresist. A complete process cycle consists of: O2 exposure of the polymer material, exhaust of O2 from the chamber, low energy Ar+ ion bombardment of the surface using Ar plasma to remove the oxygen-bonded carbon species, and Ar exhaust. This sequence is repeated up to 20 times to investigate reproducibility of each cycle and time dependent behavior. Controlled etching is based on deposition of a thin reactive layer during Ar+ sputtering from a polymer-coated electrode (polyimide-related material) within the chamber. The polyimide-related film deposition balances etching during the Ar+ ion bombardment step once the reactive layer has been removed, and enables control of the etching depth. Ar+ ion bombardment energies were selected so that once the oxygen-bonded carbon material and physisorbed layer had been removed, net etching ceased. If the ion energy is too small, deposition of sputtered polyimide-related material dominates over etching. When applying a too high selfbias voltage, significant pristine polymer etching takes place and a self-limited process cannot be achieved. Using real-time ellipsometric monitoring, we demonstrate strongly time-dependent etching rates. Starting with a high etch rate of ≈19 nm/min for 2 sec, it decreases within the next 5 sec to 0 nm/min, and therefore shows self-limitation. During the Ar etching step, the O2 modified deposited reactive layer along with 0.13 nm unmodified polymer can be removed, while concurrent deposition prevents net etching of the unmodified polymer and enables achievement of self-limited etching cycles. This etch is believed to be d irectional enabled by the energetic ion bombardment of the surface. Subsequently, the reactive surface is modified by O2 adsorption during the O2 exposure step. Molecular oxygen does not spontaneously react with carbon-based polymers at room temperature, but can be adsorbed on an activated polymer surface to form a very thin layer of oxidized carbon material over unmodified polymer. A thickness increase of 0.6 nm per cycle is observed via real-time ellipsometry. Additional XPS studies allow the investigation of the surface material composition for further insight on the reactive layer deposited during the Ar+ etching step and the adsorbed layer during O2 exposure.

2:20 PM PS2-TuA-2 Reactive Etching or Deposition Properties of Silicon Halide Ions in Gate Etching Processes
Tomoko Ito, Kazuhiro Karahashi, Satoshi Hamaguchi (Osaka University, Japan)
As semiconductor devices continue to miniaturize, a better understanding of basic reactions of etching and/or deposition processes on substrate surfaces has become more importantthan before for finer controls of device structures in the manufacturing processes. In typical gate etching processes by halogen plasmas (such as HBr plasmas), Si atoms that are desorbed from the substrate surface and enter the plasma may form silicon halide ions (such as SiBr+) or charge neutral radicals (such as SiBr) and serve as additional etchants. For example, silicon halide ions in the plasma may also be accelerated by the sheath voltage and re-enter the substrate surface. They also may interact with the sidewalls and deposit Si there. Therefore Si containing ions and charge-neutral species may affect etching yields and etched profiles.To clarify the roles of such species in gate etching processes, we have examined sputtering or deposition reactions of Si, Si3N4, and SiO2 substrateswith silicon halide ions, using a mass-analyzed ion beam system. The ion beam system allows one to irradiate a sample surfacewith a specific ionic species at a given incident energy under ultra-high vacuum conditions and evaluate the etching yields and surface chemical reactions. The surface chemistry can be examined by in situ X-ray photoelectronspectroscopy (XPS) and desorbed species by the etching reactions can be detected by a quadrupole mass spectrometer (QMS) installed in the vacuum chamber. In this study, etching yields of silicon bySiClx + orSiFx + ion beams were evaluated with incident energies of 500 – 1000 eV. It has been found that, depending on the incident energy, these species tend to deposit Si on the surface although they also simultaneously etch the substrate. Some properties of such etching/deposition processes may be understood from elementary reaction processes of Si deposition and halogen etching.
2:40 PM PS2-TuA-3 Cl Atom Reactions on Silicon Oxy-Chloride Layers Deposited on Chamber Walls in Chlorine-Oxygen Plasmas
Ashutosh Srivastava (University of Houston); Rohit Khare (Lam Research Corp); Vincent Donnelly (University of Houston)
The effects of O2 addition to a Cl2 inductively-coupled plasma (ICP) on the heterogeneous reactions of Cl atoms during silicon etching has been studied, using the “spinning wall” method with line-of-sight mass spectrometry, optical emission spectroscopy and Auger electron spectroscopy. A cylindrical substrate embedded in the wall is rotated so that its surface periodically passes through the plasma chamber and then through the differentially pumped diagnostic chambers. Langmuir-Hinshelwood desorption products are detected as a function of time after leaving the plasma, established by the variable rotation frequency. A silicon wafer electrode placed inside the plasma chamber was etched for 10 min with -119 Vdc self-bias in a 2.5 mTorr, 400 W Cl2 ICP, depositing etching products on the chamber walls and spinning substrate. The Si-electrode bias was turned off and the chamber walls were conditioned with a Cl2 ICP for an hour. A silicon oxy-chloride (SiOxCly) layer of stoichiometry Si:O:Cl = 1:0.38:0.38 was formed, with the O being a result of slow etching of the quartz discharge tube. No significant Cl recombination on this layer was observed (recombination probability, γCl < 0.001). With the addition of 5% O2 to the feed gas, the Si-wafer was again biased for 10 min to deposit SiOxCly products on the chamber walls, which were then conditioned with a no-bias ICP for another hour, leaving a film with Si:O:Cl = 1:1.09:0.08. Cl recombination was observed on this surface, with γCl = 0.03. No etch products were detected in the mass spectrometer and hence there is no significant etching of the silicon oxy-chloride layer deposited on the chamber walls at this oxygen fraction. On further treating the surfaces with a pure O2 plasma for 60 min, the surface composition became Si:O:Cl = 1:1.09:0.04. On this surface the Cl-atom recombination was further enhanced, with γCl = 0.04. We attribute this behavior to Cl recombination occurring at chlorinated O sites rather than chlorinated Si sites on silicon oxy-chloride surface, because of the weak Cl-O bond compared to the Cl-Si bond.
3:00 PM PS2-TuA-4 Molecular Dynamic Simulation of Possible Damage Formation at Vertical Walls of finFET Devices during Plasma Etching Processes
Kohei Mizotani, Michiro Isobe, Satoshi Hamaguchi (Osaka University, Japan)

Development of multi-gate field effect transistors (FETs) such as fin-type FETs (finFETs), which can suppress short channel effects, have been considered as a leading approach to continue to follow Moore’s law after the current planer MOSFET technologies reach the size limitations. In finFETs, the Si vertical walls are typically designed to function as gate channels and therefore damages at the vertical walls possibly caused by ion bombardment during the gate etching processes must be minimized. During such etching processes, ions may impinge upon the vertical surfaces but their angles of incidence should be nearly grazing angles. Energetic ions at large oblique angles of incidence against the surface are often considered to be less harmful than those with normal angle of incidence if their incident energies are the same. However, a recent study [1] performed by mass- selected ion beam system has shown that H+ ion injection at 60 degree from the surface normal can form a deep damage later near the substrate surface. In addition, it is known that simultaneously injection of H+ ions and O atoms cause enhanced surface oxidation on the Si substrate [2]. In this study, we have used molecular dynamics (MD) simulations to study damages caused by ion bombardment with oblique angles of incidence. It has been found in MD simulations that light ions such as H+ can indeed cause a deep damaged layer near the substrate surface even at large angle of incidence whereas heavier ions such as Br+ ions cause less damages under the same conditions.

[1] T. Ito, K. Karahashi, K. Mizotani, M. Isobe, S.-Y. Kang, M. Honda, and S. Hamaguchi, Jpn. J. Appl. Phys. (2012) in press.

[2] T. Ito, K. Karahashi, M. Fukasawa, T. Tatsumi and S. Hamaguchi, Jpn. J. Appl. Phys. 50 (2011) 08KD02.

3:20 PM BREAK
4:00 PM PS2-TuA-7 Real time In Situ Electron Spin Resonance (ESR) Study of Free Radicals on Materials Created by Plasmas
Kenji Ishikawa (Nagoya University, Japan); Hideo Horibe (Kanazawa Institute of Technology, Japan); Masafumi Ito (Meijo University, Japan); Makoto Sekine, Masaru Hori (Nagoya University, Japan)
Introduction - To understand a mechanism of plasma-surface interaction, there needs analysis of reactions between plasmas and materials. It has long been appreciated that free radicals play important roles for controlling the reactions. The electron-spin-resonance (ESR) technique can detect the radicals - in particular dangling bonds - and thus we have developed methods to utilize the ESR for this purpose [1]. In this study, we focused on ESR detection of free radical on materials interacted with plasmas.
Polymeric materials - An ESR system was connected to a plasma discharge system (2.45 GHz, 50 W) using a quartz tube with an inner diameter of approximately 9 mm. Gas (H2, O2, etc.) was flowed into the quartz tube and the pressure was maintained at approximately 100 Pa in the downflow region. ESR measurements were conducted using a standard X-band (9 GHz) spectrometer with a microwave resonator. The quartz tube and poly(methyl-methacrylate)(PMMA)-ralted polymer (methacrylic acid, ethylacrylate, ethyl-methacrylate, acrylic acid, etc.) film sample were inserted inside the ESR cavity in the down-flow region, typically 20 cm from the plasma discharge.
During exposure of gaseous radicals on the polymer surface, the in situ real-time ESR technique was detected creation of dangling bonds on surface at real time and provided to the microscopic understanding of chemical reactions on surfaces with gaseous radicals during plasma processes. We have successfully obtained information regarding the reaction mechanism with radicals generated by plasma induced surface interactions.
Biological organism - In another, fungal spores of Penicillium digitatum were diluted by sterilized water mixed surfactant (Tween 20) for avoiding clump of spores, and then dried it on a quartz plate. ESR observation of the spores was conducted during oxygen plasma exposure.
Decrease of ESR intensity was corresponded with inactivation behavior where no germination of the spores was observed, eg. no sprout on the mycelia after plasma exposure. Through clarification of individual effects of plasma emission lights, neutral and charged species, this behavior is interpreted that intercellar stable free radical such as quinones was destroyed by exposing oxidizing species generated in the plasmas.
Conclusion - The real time in situ ESR method has a great potential for analyzing the interactions with materials and plasmas.
Acknowledgments - This study was partly supported by the Knowledge Cluster Initiative (the second stage), Tokai region, MEXT.
1. K. Ishikawa et al., J. Phys. Chem. Lett. 2, 1278 (2011).
4:40 PM PS2-TuA-9 Roles of Hydrogen for Hydrofluorocarbon (HFC) Plasma Etching of Silicon Nitride (SiN)
Satoshi Hamaguchi, Keita Miyake, Kohei Mizotani, Michiro Isobe, Tomoko Ito, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa, Tetsuya Tatsumi (Sony Corporation, Japan)

Silicon nitride (SiN) is a chemical compound widely used in semiconductor devices or their manufacturing processes as, e.g., gate spacers, stress liners, or hard masks for reactive ion etching (RIE) processes. As to RIE processes of SiN, it has been known that the content of hydrogen (H) in a hydrofluorocarbon (HFC) plasma strongly affects the SiN etching rates. The goal of the present study is to clarify the reaction mechanism of SiN etching. Our earlier studies based on molecular dynamics (MD) simulations and ion beam etching experiments suggested that hydrogen in HFC plasma tends to reduce the thicknesses of a carbon-rich surface layer deposited on SiN during an HFC plasma etching process by forming volatile hydrocarbon species. In the present study, we examine to what extent hydrogen promotes the formation of volatile species containing Si and/or N, which would directly increase sputtering yields of SiN. Our beam experiments have indicated that, under carbon deposition conditions (with 1keV CF+ ion incidence), the hydrogen content in a SiN film has little effect on the deposition rate of a carbon rich film on SiN. In other words, hydrogen typically contained in the bulk of SiN is not sufficient (probably in quantity) to promote the formation of volatile species on the surface under such conditions to the extent that the deposition rate could be reduced. However, our MD simulation results have also indicated that, under direct interaction of a SiN substrate with HFC ion beams, Si containing volatile species can be indeed desorbed from the surface. The results suggest that, in the presence of a large amount of hydrogen, hydrogen termination of Si and/or nitrogen bonds in the SiN substrate surface region increases the etching rate of SiN.

5:00 PM PS2-TuA-10 Molecular Dynamics Analysis of Si Etching with Cl and Br Beams: Ion Incident Angle and Neutral Radical Flux Dependence
Nobuya Nakazaki, Hirotaka Tsuda, Yoshinori Takao, Koji Eriguchi, Kouichi Ono (Kyoto University, Japan)

Profile anomalies and surface roughness are critical issues to be resolved in plasma etching of nanometer-scale microelectronic devices, which in turn requires a better understanding of the effects of ion incident energy and angle on surface reaction kinetics. In addition, incident neutral radicals also affect the surface reaction kinetics during plasma etching, and thus the etching characteristics are varied by the neutral-to-ion flux ratio. This paper presents a classical molecular dynamics (MD) simulation of Si etching by energetic Cl+ and Br+ ion beams and low-energy neutral Cl and Br radicals, using an improved Stillinger-Weber interatomic potential model for Si/Cl and Si/Br systems. Emphasis is placed on a systematic understanding of plasma-surface interactions of Si/Cl and Si/Br systems, which are widely used in manufacturing microelectronic devices.

In the MD simulation, the substrate has a Si(100) surface, which is a square 32.58 Å on a side and contains 72 Si atoms in a monolayer (ML). The simulation cell initially contains 1440 Si atoms (20 ML) in a depth of 26.0 Å, where Si atoms in the bottom layer are fixed during simulation, while periodical boundaries are imposed in the horizontal direction. Energetic Cl+ and Br+ ions are injected toward the substrate surface from randomly selected horizontal locations above the target, and neutral Cl and Br atoms are introduced onto the surface prior to every ion incidence. The neutral-to-ion flux ratio was varied in the range Γni = 0–100. The ion incident energy was in the range Ei = 20–300 eV, and the ion incident angle was in the range θi = 0–90˚. The kinetic energy of neutral atoms was taken to be 1 eV.

Numerical results indicated that in etching by beam only (Γni = 0), the surface reaction kinetics exhibit a characteristic of the ion-enhanced etching at lower ion energies, where the etching yield is maximum at normal incidence (θi = 0˚), while a characteristics of the physical sputtering at higher energies, where the yield is maximum at off-normal incidence (θi = 60–70˚). Similar inclinations for the ion incident angle were obtained with increasing Γni, although the thickness of the surface reaction layer increases a little and the etch yield increases significantly with increasing Γni. These imply that impinging ions disarrange the surface Si lattice and weaken the binding force of Si atoms on the top surface, and incident neutral radicals etch surface Si atoms whose binding force with the neighbor Si atoms becomes lower than that of Si atoms in the original diamond lattice. These effects are clearly observed for Si/Br system, as compared to for Si/Cl system.

5:20 PM PS2-TuA-11 Controlling Correlations Between Ion and UV/VUV Photon Fluxes in Low Pressure Plasma Materials Processing
Peng Tian, Mark Kushner (University of Michigan)

The importance UV and VUV photon fluxes during plasma materials processing has been recognized through the damage these fluxes may cause in devices being fabricated and in adversely affecting the permittivity of low-k dielectrics such as SiOCH through demethylation. Recently, synergistic effects between ions and photons have been observed in the roughening of photoresist [1] and in sub-threshold etching of silicon in Cl containing gas mixtures.[2] The growing awareness of the importance of UV and VUV photon fluxes in low pressure plasma materials processing, and the possibility of there being synergies with ion fluxes, motivates development of methods to separately optimize UV/VUV and ion fluxes. For example, one may wish to maximize or minimize the overlap in time between the UV/VUV and ion fluxes depending on the particular process. In this talk, we report on results from a computational investigation of low pressure inductively and capacitively coupled plasmas with the goal of determining the degree to which UV/VUV and ion fluxes can be separately controlled. The model used in this investigation is a 2-dimensional plasma hydrodynamics model with radiation transport. Two strategies are being investigated. The first is pulsed plasmas which rely on the different time scales for production and transport of photons and ions to the substrate during the pulsed period to provide for some degree of separate control of the fluxes. The second is semi-remote plasma sources which rely on isolation of the photon sources and transport of ions to control the ratio of photon and ion fluxes to the substrate.

[1] T-Y. Chug, et al., Plasma Proc. Polymer 8, 1068 (2011)

[2] H. Shin et al., J. Vac. Sci. Technol A 30, 021306 (2012)

* Work supported by the Semiconductor Research Corp. and DOE Office of Fusion Energy Sciences

5:40 PM PS2-TuA-12 Formation Mechanisms of Nanoscale Surface Roughness and Rippling during Plasma Etching and Sputtering of Si under Oblique Ion Incidence
Hirotaka Tsuda, Yoshinori Takao, Koji Eriguchi, Kouichi Ono (Kyoto University, Japan)
Three-dimensional measurement and prediction of atomic-scale surface roughness on etched features become increasingly important for the analysis of line edge roughness (LER) and line width roughness (LWR) on feature sidewalls; however, the feature profiles are too small and/or too complex to measure the surface roughness on bottom surfaces and sidewalls of the etched features. To predict the surface roughness on atomic/nanometer scale, we have developed our own three-dimensional atomic-scale cellular model (ASCeM-3D) [1] and feature profile simulation. In this study, emphasis is placed on a better understanding of the formation mechanisms of nanoscale surface roughening and rippling during Si etching and sputtering under oblique ion incidence.
In the ASCeM-3D model, the simulation domain is divided into a number of small cubic cells of L = ρSi-1/3 = 2.7 Å, where ρSi = 5.0 x 1022 cm−3 is the atomic density of Si substrates. Ions and neutrals are injected from the top of the simulation domain, and etch and/or sputter products are taken to be desorbed from etching surfaces into microstructural features, where two-body elastic collision processes between incident ions and substrate atoms are also taken into account to analyze ion reflection on etched feature surfaces and penetration into substrates. The ASCeM-3D takes into account surface chemistries based on the Monte Carlo (MC) algorithm [2-4], including adsorption and reemission of neutrals, chemical etching, ion-enhanced etching, physical sputtering, and redeposition of etch and/or sputter products on feature surfaces. The etch yield of ion-enhanced etching and sputtering depending on ion incident energy and angle is taken from the empirical models.
Numerical results indicated that the ripple structures occur on etched surfaces, depending on incident angle of ions. The surfaces are randomly roughened in the case of Cl2 plasma etching for an ion incident angle θi = 0° or normal incidence of ions. For increased θi = 45°, the ripples are formed perpendicular to the direction of ion incidence, while parallel to that of ion incidence for further increased θi = 75°. These imply that the angular dependence of energy transfer processes from an incident ion to substrate atoms largely affects the evolution of feature profiles and surface roughness on atomic/nanometer scale.
[1] H. Tsuda et al., Jpn. J. Appl. Phys. 50 (2011) 08JE06.
[2] Y. Osano and K. Ono, J. Vac. Sci. Technol. B 26 (2008) 1425.
[3] H. Tsuda et al., Thin Solid Films 518 (2010) 3475.
[4] H. Tsuda et al., Jpn. J. Appl. Phys. 49 (2010) 08JE01.
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule