AVS2012 Session PS2-ThM: Low Damage Processing

Thursday, November 1, 2012 8:00 AM in Room 25

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule

Start Invited? Item
8:00 AM PS2-ThM-1 New Approaches for Overcoming Current Issues of Plasma Sputtering Process during Organic Based Micro-Electronic Device Fabrication : Room Temperature & Plasma Damage Free
MunPyo Hong (Korea University)
While direct deposition of metal oxide thin films including transparent conductive oxide (TCO) and amorphous oxide semiconductor (AOS) on the organic layers, plasma damages against the organic materials are serious issues. These damages are believed to be mainly originated from highly energetic particles during the plasma sputtering process such as negative oxygen ions & reflecting neutrals from the target surface, etc. During the DC magnetron sputtering (DMS) process, most of energetic particles contribute in self-supplying of activation & crystallization energy and forming higher quality TCO thin film without an additional heating or post-annealing. However, the excessively accelerated negative oxygen ions can lead to fatal physical bombardment damages and defects in the oxide thin film, which are hardly recovered without post thermal annealing. To simply salve the inherent limitation of the DMS process, we are developing novel approaches for selectively filtering the negative ions by magnetic field arrays, named as Magnetic Field Shielded Sputtering (MFSS) process. The MFSS process effectively eliminates or suppresses the defect generation induced by the negative oxygen ion bombardments. The electro-optical properties of ITO thin films by the MFSS were superior to those by the conventional DMS at room temperature. While the DMS processed a-IGZO TFTs need a high temperature post annealing up to 400℃ for healing the internal defects in the AOS thin film, the MFSS processed a-IGZO TFT scarcely requires the post thermal annealing and shows very comparable electrical performance to the DMS processed one with a high temperature post annealing. To completely overcome the plasma related damage issues, we are developing the Neutral Beam Assisted Sputtering (NBAS) process; electro-optical properties of the ITO thin film by the NBAS were achieved in lower resistivity (< 4.0×10−4 Ω∙cm) and higher transmittance (> 90 % at 550 nm) with nano-crystalline structure at room temperature process. Furthermore, for a TCO top anode on the inverted structure OLED cell, the NBAS TCO deposition process has induced almost no damages on the underlying organic layers. On the other hand, gas barrier coatings are essential to prevent the permeation of water and oxygen for a high efficiency flexible AMOLED device. Key factors for formation of the high quality inorganic gas barrier to satisfy the extremely lower water vapor transition rate (WVTR) requirement for OLED cells (<1×10-6 g/m2/day) are suppression of nano-sized defect sites and gas diffusion pathways among the grain boundaries. To achieve the nano-sized defect free inorganic gas barrier layer, new nano-structured Al2O3 single gas barrier layer is developing by using the NBAS technology; current WVTR of the NBAS processed Al2O3 gas barrier films was as low as 5×10-6 g/m2/day by just single layer.
8:40 AM PS2-ThM-3 Novel Inward Plasma Etching System for Failure Analysis in Nano-Scale Semiconductor Devices
Tetsuo Shimizu, Tomoyuki Horie, Yasuhisa Naitoh (AIST, Japan); Satoshi Takahashi, Chikatsu Iwase, Yuya Shirayama, Shuntarou Yokosuka, Kenta Kashimura, Shun’ichiro Shimbori, Hiroshi Tokumoto (Sanyu Co. Ltd., Japan)
In a plasma system with a capillary-discharge tube, Yoshiki has demonstrated that the plasma can expand even along an upper gas-stream side of the tube under proper conditions (gas pressure, electric power, etc), even if the plasma is excited in the tube center. By utilizing this fact, we have developed an “inward” plasma etching (IPE) system, where the etching sample is set close to the tube end, and successfully applied to expose the metal wires for failure analysis of nano-scale semiconductor devices. Insulating oxide layers in a multi-layered semiconductor device was etched locally, cleanly and well controlled with process gas CF4 . Thin metal wires about 90nm width embedded in the oxides were exposed without any damages (breaking, lifting off, etc) in much shorter time compared with the focused ion beam etching. Further, almost all etching products which flowed though the capillary tube were effectively analyzed with a quadrupole mass spectrometer. In a case of CF4 gas etching of silicon wafer with thermal-oxides of about 600nm thick, mass peaks corresponding to SiF+, SiF2+ and SiF3+ were detected and the intensity of SiF3+ was changed clearly at the boundary of silicon oxide and substrate silicon, indicating a good measure of the end-point detection. At the presentation, we shall present details of the system and its application.
9:00 AM PS2-ThM-4 Effect of Open Area Ratio and Pattern Structure on Fluctuations in Critical Dimension and Si Recess
Nobuyuki Kuboi, Tetsuya Tatsumi, Masanaga Fukasawa, Jun Komachi, Takashi Kinoshita, Hisahiro Ansai, Hiroyuki Miwa (Sony Corporation, Japan)

The Si recess, which is caused after post-wet treatment in the Si gate etching process, can have a big effect on transistor properties such as threshold voltage (Vth) and off-state leakage current (Ioff). We previously studied what caused the Si recess [1] and found through our analysis of a molecular dynamics (MD) calculation and a beam experiment that it could be the oxidation enhanced diffusion induced by incident hydrogen during HBr/O2 gate etching [2]. The fluctuation in the Si recess depth (ΔdR) as well as in the critical dimension (ΔCD) is one of the key factors causing fluctuations in Vth Vth) and IoffIoff). The pattern dependence of the incident particle flux is related to ΔdR, therefore a prediction technology that considers this dependence is necessary in order to understand the effects on ΔVth and ΔIoff.

To model the dependence, we assumed that three factors­–mask open area ratio at the wafer level (global), chip level (semi-local), and local level (local)–affect ΔCD and ΔdR. We performed experiments using a dual frequency capacitively coupled plasma system. We used wafers ranging from 60 to 91 % (the global range (RG) and the semi-local (RS)) with various patterns of the photo-resist mask on the Poly-Si film. These samples were treated by the HBr/O2 process under a pressure of 30 mTorr.

We found that ΔCD had positive and linear correlations with the global, semi-local, and local levels, which was consistent with the trend of the by-product (SiBrx) intensity and with that of the taper angles of the etched profiles. We also clarified that ΔCD was affected by the amount of SiBrx generated within several times of the mean free path area for the semi-local dependence, and that it was the solid angle (S) viewing from a pattern, not the pattern space, that had a good correlation with variations in ΔCD as a control indicator. We used this experimental knowledge to model the SiBrx flux and created a Si gate etching simulation that demonstrated the ΔCD value and etched profile trends. We also found that ΔdR depended on (RG+RS)S as well as on the dosage of incident particles, considering the relationship between dR and the ion energy reduced by the SiBrx deposition depth [3]. Furthermore, when we used the analytical transistor model [3], we could predict ΔVth and ΔIoff enhanced by the Si recess with (RG+RS)S.

These results show that it is crucial to control plasma processes such as ion energy, gas flux, and over-etch time considering the effect of pattern dependence of fluctuations in ΔCDand the Si recess.

[1] T. Ohchi et al., JJAP 47, 5324 (2008).

[2] T. Ito et al., JJAP 50, 08KD02 (2011).

[3] K. Eriguchi et al., JJAP 49, 08JC02 (2010).
9:20 AM PS2-ThM-5 Control of Surface Properties on Plasma-Etched Gallium Nitride (GaN)
Ryosuke Kometani, Shang Chen, JongYun Park, Jiadong Cao, Yi Lu, Kenji Ishikawa, Keigo Takeda, Hiroki Kondo, Hiroshi Amano, Makoto Sekine, Masaru Hori (Nagoya University, Japan)
Introduction - In plasma etching of gallium nitride (GaN), surface of GaN was etched by preferentially desorbed nitrogen [1] and roughened by bombarded by energetic ions at elevated temperature [2]. In this paper, we have studied about effects of temperature to form surface properties such as surface roughness.

Experimental - Samples were Si-doped GaN epitaxial layer with thickness of 1 μm grown by metal organic chemical vapor deposition (MOCVD) on sapphire substrates. After removed native oxides off chemically, the samples of GaN were partially etched off by using capacitively coupled plasma (CCP) reactor. Pure argon gas of 50 sccm was introduced and a pressure of 10 Pa was maintained. Plasmas were sustained by applying radio frequency (13.56 MHz or 100 MHz) power to a sample stage which temperature was maintained at 600°C. After the plasma processes for 10 min., morphology of the sample was measured by the atomic force microscopy (AFM), chemical composition was evaluated by the x-ray photoelectron spectroscopy (XPS).

AFM images of initial sample was shown smooth surface and also surface morphology was not significantly changed Just after annealed at 600°C for 10 min. However, after exposed Ar plasma at elevated temperature of 600°C for 10min, surface roughness was observed in particular. This is considered that the observed roughness caused by forming metallic-Ga precipitates on the surface, which was supported by results increase of metallic Ga bonding by XPS analysis. Namely, this indicates that favorable volatilization of nitrogen created Ga-droplets and promoted to roughen the surface at 600°C.

On contrary, surface morphology after N2 plasma was relatively smooth although the high temperature. The results in smoothness were possibly resulted by difference in Ga droplet formation because of suppression of metallic Ga formation by reacting of reactive N species.

In this study, we report a method to control surface properties on plasma etched GaN through experiments carried out with samples at elevated temperatures. Further detailed discussion will be conducted.

Acknowledgement - This work was partly supported by the Knowledge Cluster Initiative (Second Stage)-Tokai Region Nanotechnology Manufacturing Cluster.

1) R. Kawakami et al., Thin Solid Film. 516, 3478 (2008).

2) R. Kometani et al., Jpn. Soc. Appl. Phys. Spring Meeting (2012), 30a-M-11.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM PS2-ThM-9 Theoretical Calculation of Neutralization Efficiency of Positive and Negative Chlorine Ions with Consideration of Excited States
Shingo Ohtsuka, Naoki Watanabe (Mizuho Information & Research Institute, Inc., Japan); Tomohiro Kubota (Tohoku University, Japan); Takuya Iwasaki, Yasuroh Iriye, Kohei Ono (Mizuho Information & Research Institute, Inc., Japan); Seiji Samukawa (Tohoku University, Japan)
We investigated the generation mechanism of neutral particles in high efficiency neutral beam source developed by Samukawa et al [1], by collision of positive and negative chlorine ions against graphite surface. It is already known experimentally that neutralization efficiency of negative ion (Cl-) is much higher than that of positive ion (Cl2+) [2] and that realized high efficiency and low energy neutral beam by usage of negative ions generated in pulse-time-modulated plasma. However, the mechanism has not been clarified. Recently we investigated the neutralization mechanism by using numerical simulations based on quantum mechanics [3-5] and succeeded in explaining higher neutralization efficiency of negative ions than positive ions. In this study, to obtain more reliable neutralization efficiency, we introduced consideration of excited states. A unit cell with a graphite surface and a chlorine particle (Cl, Cl+, Cl-, Cl2, or Cl2+) was used for the calculation. During a collision of the particle against the graphite, time evolution of wave functions of ion and graphite electrons was calculated by solving TD-Kohn-Sham equation [3, 4]. The probability of neutralization of an ion was estimated by calculating electron occupation numbers of each electron on each occupied and unoccupied molecular orbital. As a result, neutralization efficiencies of Cl- and Cl2+ ions were calculated as 87% and 77%, respectively. This result is consistent with our previous result that negative ions have higher neutralization efficiency due to resonant neutralization mechanism than that of positive ions with Auger neutralization mechanism. It was also found that electrons of the neutralized Cl2+ occupied some unoccupied orbitals of the grounded Cl2, namely Cl2+ became excited Cl2* by the collision. On the other hand, electrons of the neutralized Cl- did not occupy unoccupied orbitals of the grounded Cl, namely Cl- became grounded Cl by the collision. Since excited Cl2* are likely to be re-ionized to Cl2+ by the following collisions, so we consider the re-ionization process should be also an important factor to estimate the neutralization probability of Cl2+ ion. A part of this work was supported by the New Energy and Industrial Technology Development Organization (NEDO).[1] S. Samukawa et al, Jpn. J. Appl. Phys. 40, L779 (2001).[2] S. Samukawa, Jpn. J. Appl. Phys. 45, 2395 (2006).[3] N. Watanabe et al., Phys. Rev. E 65, 036705 (2002).[4] http://www.mizuho-ir.co.jp/solution/research/semiconductor/nano[5] T. Kubota et al., J. Phys. D: Appl. Phys. 45, 095202 (2012).
11:00 AM PS2-ThM-10 High-aspect Ratio and Diameter Controlled GaAs/AlGaAs Nano-Pillar Fabrication using Defect-free Neutral Beam Etching and Bio-template Process
Yosuke Tamura, Makoto Igarashi, Mohd Erman Fauzi, Weiguo Hu (Tohoku University, Japan); Ichiro Yamashita (Nara Institute of Science and Technology, Japan); Seiji Samukawa (Tohoku University, Japan)

The III-V compound quantum dot (QD) has recently become extremely attractive when researching the quantum effect and developing novel opt/electronic devices such as high-efficiency intermediate-band solar cells. In the latter one, it is very important to precisely control the geometry size and alignment of QDs to form an intermediate-band. A high in-plane density is also necessary for photovoltaic devices in order to obtain a large optical gain. Molecular beam epitaxy have widely used and have been greatly researched for forming QDs. However, it is still a great challenge for realizing ideal nanostructures using self-organized bottom-up processes.

Our proposed top-down process of using bio-template [1] and neutral beam etching (NBE) [2] has great potential to fabricate defect-free, high-density (more than 7×1011 cm-2), sub-20-nm-in-diameter GaAs QDs structures [3]. This process uses 7-nm-in-diameter iron cores of ferritin (protein included iron core) as the etching mask and damage-free NB with eliminating UV photons and high-energy ions to etch GaAs without defects.

In this study, we successfully fabricated high aspect ratio nano-pillars etched the GaAs/AlGaAs stack-layered structure with 95 nm height and 15 nm the diameter by using a NBE. The diameter of the GaAs nanodisk could be precisely controlled from 12 to 18 nm by a combination of Hydrogen-radical treatment and Cl2-NBE. This is because a taper profile of GaAs-NBO film could be controlled by Hydrogen-radical treatment time due to the isotropic and slow etching rate. In addition, not only iron cores but also this tapered GaAs-NBO film can work as etching masks because of the high NBE selectivity of GaAs-NBO. Thus, the diameter of the GaAs nanodisk could be controlled using the surface GaAs-NBO film taper profile produced by the prior Hydrogen-radical treatment time before NBE. This result means that our fabricated nanodisk array structures have great potential for high performance III-V compound optical QDs devices.

[1] I. Yamashita. Thin Solid Films. 393. 12. (2001).

[2] S. Samukawa. Jpn. J. Appl. Phys. 45. 2395. (2006).

[3] X. Y. Wang, et al., Nanotechnology, 22. 365301. (2011).

11:20 AM PS2-ThM-11 3-Dimensional and Defect-free Neutral Beam Etching for MEMS Applications
Yuuki Yanagisawa (Tohoku University, Japan); Tomohiro Kubota (Tohoku University and BEANS Project, Japan); Batnasan Altansukh (Tohoku University, Japan); Kazuhiro Miwa (BEANS Project, Japan); Seiji Samukawa (Tohoku University and BEANS Project, Japan)

To fabricate MEMS devices, it is necessary to etch large-scale three-dimensional (3D) structures. Plasma etching is widely used for this purpose, but plasma irradiates charged particles and high energy UV photons and they may cause problems.

Ion sheath exists between bulk plasma and the etched sample. It accelerates and collimates ions in plasma and enables vertical etching. However, when the sample has large-scale 3D structure whose height is similar to or larger than the sheath thickness, the sheath is distorted along the surface. This causes distorted acceleration of ions and results in distorted etching shape. Also, high energy UV photons from plasma generates defects and deteriorates the mechanical property. It is reported that quality factor (q factor) and resonance frequency (f) were decreased by plasma irradiation [1]. In this study, we investigated 3D, damage-free, and high aspect ratio silicon etching for MEMS application using neutral beam (NB) to solve these problems. NB source developed by Samukawa et al. [2] can achieve high neutralization efficiency and elimination of UV irradiation damage.

First, large-scale 3D structure etching was investigated by using a sample with a vertical step of up to 725 µm high. In cases of plasma etchings (Cl2 ICP etching and Bosch process), distortion of etching shape was observed. The etching shape distortion is concluded to be due to ion sheath distortion, based on the dependence on the step height and plasma parameters. On the other hands, no distortion was observed in the case of NB etching. This is because accelerated and collimated neutral particles without electric charge are used in NB etching.

Next, etching damage on mechanical property of silicon was investigated using microcantilever structure. Ar plasma and neutral beam were irradiated at the surface of the samples. Plasma irradiation degraded q factor and f of the cantilever, but neutral beam did not. This is due to crystalline defects generated by the UV irradiation from plasma. It is regarded that phonons are scattered by these defects, which causes loss of vibration energy and decrease of q factor and f.

In conclusion, MEMS process suffers both of sheath distortion and UV irradiation problems and neutral beam can solve these problems.

A part of this work was supported by the New Energy and Industrial Technology Development Organization (NEDO). This work is partly supported by Creation of Innovation Centers for Advanced Interdisciplinary Research Areas Program.

[1] M. Tomura et al., Jpn. J. Appl. Phys. 40, 04DL20 (2010).

[2] S. Samukawa et al., Jpn. J. Appl. Phys. 40, L779 (2001).
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2012 Schedule