AVS2012 Session TF-TuM: ALD Reactions and Film Properties

Tuesday, October 30, 2012 8:40 AM in Room 11

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2012 Schedule

Start Invited? Item
8:40 AM TF-TuM-3 Growth Simulations for Atomic Layer Deposition: Adsorption, Elimination and Densification Reactions
Simon Elliott, Mahdi Shirazi (Tyndall National Institute, Ireland)
The central idea in chemical vapour deposition (including atomic layer deposition, ALD) is that the thermodynamic tendency of atoms to aggregate and bond into a solid film can be delayed by surrounding the atoms with ligands and transporting the molecular complex as a vapour. Deposition thus involves adsorption of the molecule, removal of ligands and a concomitant increase in atomic coordination number as the solid is formed. The focus is often on adsorption and ligand removal, while the change in coordination during growth, which has been termed ‘densification’ [1], has often been neglected.

We apply first principles density functional theory (DFT) to the Hf(NR2)4+H2O system for the ALD of HfO2, which reveals how important densification can be in explaining the characteristics of oxide ALD. We consider R=Me but expect that similar reactions occur for larger R. Transfer of H from the surface to the ligand is strongly affected by rotation of the ligand around the Hf-N bond, which in turn depends on the crowding associated with proximity of Hf to the surface. Dissociation of HNR2 is facilitated if multiple ligands on an adsorbed Hf centre are protonated, contrary to the usual assumption of dissociation one by one. Once sufficient protonated ligands have desorbed, Hf is freed up to bond to more surface O (densification), with substantial release of energy. Thus this example illustrates the importance of densification reactions in transforming molecular precursors into solid films.

Next, we use the DFT activation energies for this reaction mechanism as inputs to a Kinetic Monte Carlo (KMC) model to explicitly model film growth over multiple ALD cycles. KMC allows a large set of inter-dependent events (such as growth reactions) to be combined into a sequence over a variety of timescales. It is therefore suitable for the coarse-graining in time that is necessary in order to simulate ALD cycles [2]. We have modified the KMC modules of the SPPARKS code [3] for oxide growth by ALD. We include 162 possible reactions (each with DFT-derived activation energies) at 8000 reaction sites under typical values of temperature and pressure. The results show which reactions predominate, how layer-by-layer growth takes place and how roughness evolves in time.

We gratefully acknowledge funding from Science Foundation Ireland under the Strategic Research Cluster ‘FORME’ (www.tyndall.ie/forme) and coding support and computing time at the SFI/HEA funded ‘Irish Centre for High End Computing’.

[1] S. Olivier et al., Chem. Mater., 2008, 20, 1555–1560.

[2] A. Esteve et al., J.Chem. Theory Comput., 2008, 4, 1915-1927.

[3] A. Slepoy et al., J. Chem. Phys., 2008, 128, 205101.

9:20 AM TF-TuM-5 Optimization of Properties of Al-doped ZnO Films Deposited by Atomic Layer Deposition
Yizhi Wu, Patryk Hermkens, Bas van de Loo, Harm Knoops, Fred Roozeboom, Erwin Kessels (Eindhoven University of Technology, the Netherlands)
ZnO is widely used in solar cell windows, and as active layers in gas sensors. Often Al-doping is applied to decrease its resistivity. However, the chemical environment and electrical properties of Al-doped ZnO are not fully understood and the doping efficiency of Al is not optimized yet. In this work, 40 nm Al-doped ZnO layers were deposited on 450 nm SiO2/Si-substrate at 250 °C by ALD using ZnEt2, AlMe3 and water vapor as precursors. The Zn-O/Al-O cycle ratios were varied corresponding to an Al-content ranging from 0 at.% to 17.4 at.%. The resistivity improved from 8.2 mΩ·cm for intrinsic ZnO to an optimum of 2.2 mΩ·cm at 6.8 at.% Al-content. The stoichiometry, distribution and chemical environment of Zn, Al and O elements were studied by angular-resolving and depth-profiling X-ray photoelectron spectroscopy (XPS). With XPS sputter depth profiling we could distinguish the individual ZnO and Al-O lamellae in the films grown with high cycle ratios, whereas films grown with low cycle ratios showed a more homogeneous composition. The binding energies of Al 2p3 and Zn 2p3 increase by 0.23eV and 0.44eV for intrinsic ZnO to highest doped AZO, respectively. This shift is ascribed to an increase of the Fermi level, and secondly, to the delocalization of bonded electrons from ZnZn0 to AlZn+.

Ex-situ SE and Fourier transform infrared spectroscopy were applied to measure the optical properties, from which the carrier concentration and intra-grain mobility were extracted by modeling. The relative permittivities ԑ1 and ԑ2 were obtained from the modeling as well and the optical band gap was determined by Tauc-plot fitting. The optical band gap increases from 3.29 eV for intrinsic ZnO to 3.77eV for the highest doped AZO (17.4 at.% Al), corresponding to the Burstein-Moss effect and an increase of the Fermi level. Meanwhile, the total mobility was determined by Hall measurement. Combined with the intra-grain mobility, the mobility at grain boundaries (GB) can be calculated. The result shows that with increasing Al%, the barrier at GB decreases at first due to an increased Fermi level and increases next due to alumina clustering at the GB. The Al-doping efficiency, as calculated from the carrier concentration, shows that the doping of Al in ZnO phase is saturated at 6.8 at.% Al. Above this value, the Al incorporated mainly forms alumina at GB, which decreases the mobility while hardly leading to higher carrier concentrations.

In summary, the chemical and electrical properties of Al-doped ZnO were measured and explained properly, and the doping efficiency was optimized at 6.8 at.% Al, which is useful for further study and applications.

9:40 AM TF-TuM-6 Growth Inhibition of Al2O3 on InGaAs by Atomic Layer Deposition
Bernal Granados, Anthony Muscat (University of Arizona)
The chemical composition of the In0.53Ga0.47As(100) interface during the growth of an aluminium oxide (AlOx) layer deposited by ALD was studied after each half-cycle using in-situ X-ray photoelectron spectroscopy (XPS) to understand film nucleation and interface formation. Native oxide was removed from InGaAs using liquid HF (49%, followed by water rinse) and gas phase HF and compared to deposition directly on native oxide. In situ gas phase HF/H2O etching was run at 29°C and 100 Torr with an HF to water partial pressure ratio of 1.23. The ALD process consisted of pulses of trimethylaluminum (TMA) and H2O at 170°C. The AlOx film thickness was estimated from the Al 2p peak area and the attenuation of the As 3d bulk signal due to an assumed homogenous Al2O3 overlayer. An AlOx film with a thickness of 11.2 ± 2.5 Å was deposited during the first pulse of TMA on both liquid and gas phase HF treated samples and a film with a thickness of 12.8 ± 2.5 Å was deposited on InGaAs covered by native oxide. These thicknesses correspond to approximately 3 ML of Al2O3, which could indicate the formation of islands. Remarkably the thickness was equivalent starting from an As-rich interface in the case of liquid HF, a Ga-rich interface in the case of gaseous HF that contained both oxides and fluorides, and an nearly stoichiometric surface in the case of native oxide. After three complete ALD cycles the thickness of the AlOx film was 12.9 ± 2.5 Å on liquid HF treated, 9.2 ± 2.5 Å on gas phase HF treated, and 14.1 ± 2.5 Å on the native oxide of InGaAs, indicating that the first pulse reacts with most of the sites on the surface. The density of methyl groups after the first pulse was estimated to be 12 methyl groups/nm2 on the liquid HF treated surface based on XPS. Approximately half of the methyl groups were hydrolyzed by the first water pulse, depositing an estimated 6 hydroxyl groups/nm2. The second TMA pulse returned the methyl density to approximately the same value after the first TMA pulse. After the first ALD cycle the samples entered into a growth inhibition period. The growth rate per cycle (GPC) during cycles two and three dropped from 2.5 ML/cycle to 0.4 ML/cycle on the liquid HF treated surface and to 0.0 ML/cycle on the gas phase HF treated surface, and from 3.4 ML/cycle to 0.2 ML/cycle on the native oxide of InGaAs. This growth inhibition after the first pulse of TMA must be caused by the formation of Al-CH3 moieties on the surface that are less reactive than both the initial surface and Al2O3. Understanding the surface reactions involved in the nucleation phase and early cycles of ALD is important in achieving control of the III-V-dielectric interface.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM TF-TuM-9 Nanoindentation and Flexure Related Effects Due to Reactive Subsurface Growth of Atomic Layer Deposition Aluminum Oxide on Polyamide-6
Yujie Sun (North Carolina State University); Matthew Goertz, Jeremy Palmer (Sandia National Laboratories); Richard Padbury, Jesse Jur (North Carolina State University)
In this study, we investigate the nanoindentation characteristics and crack formation with flexure of nanoscale atomic layer deposition (ALD) alumina on polyamide-6 (PA-6) films. Initial ALD processing PA-6 is shown to form a subsurface hybrid layer by reaction between ALD precursors and the polymer backbone, followed by standard Al2O3 surface formation in subsequent cycling. Over an exposure temperature from 60 to 120 °C, the degree of hybrid layer formation varies significantly. Transmission electron microscopy shows that the thickness of the underlying hybrid layer is increased at lower temperatures, up to 120 nm for 100 ALD cycles of trimethylaluminum and water on PA-6. At 120 °C processing, no hybrid layer is observed. The elastic modulus and hardness evaluated by nanoindentation show a corresponding decrease in value with the thickness of the hybrid layer. Flexure testing shows that both crack density and critical tensile strain is decreased for films processed at lower temperatures that have an increased thickness of the underlying hybrid layer. This analysis shows that the nucleation behavior of the ALD thin films on polymers plays an important role in understanding the mechanical performance of the thin films. This work has important consequences in the how ALD materials need to be applied and evaluated on polymers for application as diffusion barrier layers.
11:00 AM TF-TuM-10 The Importance of Oxygen-Induced Ripening in the Nucleation of Platinum Atomic Layer Deposition
Adrie Mackus, Marcel Verheijen, Noémi Leick, Erwin Kessels (Eindhoven University of Technology, Netherlands)
Platinum thin films and particles on oxide surfaces are interesting for various applications in microelectronics and catalysis. Atomic layer deposition (ALD) of Pt from MeCpPtMe3 precursor and O2 gas has recently emerged as a promising technique to fabricate these structures on demanding topologies such as high-aspect ratio surfaces or porous catalyst supports. The surface reactions of Pt ALD are governed by dissociative chemisorption of O2 at the Pt surface, and catalytic combustion and dehydrogenation of the MeCpPtMe3 precursor ligands,1 which is limited during nucleation on oxides due to the absence of a catalytic surface. In this work, it is established that a parameter that has not been investigated so far, the O2 exposure (i.e. pressure × time) during the reactant half-reaction of the ALD cycle, plays a crucial role during the nucleation of Pt ALD. Under influence of the oxygen, deposited Pt atoms diffuse over the surface and form small islands, and these islands subsequently catalyze the surface reactions of Pt ALD. As a result, the nucleation delay for Pt growth decreases with increasing O2 exposure. It is shown that the particle ripening is absolutely essential for the Pt ALD growth to occur. For low O2 exposures, there is no growth at all on Al2O3 substrates. The O2 exposure can be used as a parameter to tune the nucleation behavior, and this has some important consequences for the applications. For example, the O2 exposure dependence can be exploited to minimize the nucleation delay for the deposition of ultrathin closed Pt films, to fabricate particles with a narrow size distribution for catalysis applications, or to obtain selective growth on seed layer patterns for nanopatterning applications.2
[1] A.J.M. Mackus, N. Leick, L. Baker, W.M.M. Kessels, Chem. Mater., (accepted for publication).
[2] A.J.M. Mackus, J.J.L. Mulders, M.C.M. van de Sanden, W.M.M. Kessels, , 116102 (2010)
11:20 AM TF-TuM-11 Plasma Assisted Atomic Layer Deposition of Pt and PtOx in High Aspect Ratio 3D Structures
Ivo Erkens, Marcel Verheijen, Fred Roozeboom, Erwin Kessels (Eindhoven University of Technology, Netherlands)
High aspect ratio (AR) nanostructured films of noble metals and noble metal oxides with large specific surface areas can have a wide variety of applications in many fields such as catalysis, sensing and energy storage. Atomic layer deposition (ALD) has become the method of choice for depositing thin films conformally in high AR structures.(1) To achieve high conformality, saturation of the surface reactions has to be achieved throughout the three-dimensional (3D) structure. For plasma assisted ALD, reaching conformal deposition in high AR structures is less straightforward than for thermal ALD due to surface recombination loss of plasma radicals.(2) In our contribution we demonstrate that plasma assisted ALD can be used to deposit Pt in high AR 3D structures with high conformality. We also describe the sample preparation technique that allowed the conformality to be analyzed using transmission electron microscopy (TEM). Depositions were performed on high AR trenches, pre-etched in silicon (AR = 1.3 - 34), and in anodized aluminum oxide (AAO) (pore diameter = 70 nm, depth = 20 µm). The results for coating the Si trenches demonstrate that for the plasma assisted ALD process, pulses of 3 s (MeCp)PtMe3 and 3 s O2plasma are sufficient to deposit Pt coatings with 95-100% conformality up to AR = 20. For AR = 20 the conformality was quantified using TEM. For this purpose, a cross-sectional TEM sample of a trench was prepared by first cleaving the Si substrate exactly through the trench heartline. A lift-out TEM sample was subsequently prepared using Focused Ion Beam (FIB) milling orthogonal to the cleavage plane (i.e. to the side wall of the trench). This provided a TEM sample in which the entire sidewall of the 20 µm deep trench was electron transparent, which allowed the layer thickness as a function of depth to be determined with high precision. The TEM images of individual Pt nanotubes deposited in the AAO pores were used to determine wall thickness as a function of depth, and showed the grain structure of the deposited Pt in great detail. In our contribution we will also report on the high AR conformality of other noble metal and noble metal oxide plasma assisted ALD processes (e.g., PtOx and Ir).
(1) P. Banerjee, I. Perez, L. Henn-Lecordier, S. B. Lee, and G. W. Rubloff, Nature Nanotechnology 4, 292 (2009).
(2) H. C. M. Knoops, E. Langereis, M. C. M. van de Sanden, and W. M. M. Kessels, J. Electrochem. Soc. 157, G241-G249 (2010).
Time Period TuM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2012 Schedule