AVS2010 Session PS-ThM: Plasma Surface Interactions (Fundamentals & Applications) III

Thursday, October 21, 2010 8:20 AM in Room Aztec

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule

Start Invited? Item
8:20 AM PS-ThM-2 Etching of MTJ by using Non-Corrosive Gas Mixtures
Se-Koo Kang, Min-Hwan Jeon, Jong-Yoon Park, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

Magnetic random access memory (MRAM) has made a prominent progress in memory performance and has brought a bright prospect for the next generation nonvolatile memory technologies due to its excellent advantages. Dry etching process of magnetic thin film is one of the important issues for the magnetic devices such as magnetic tunneling junctions (MTJs) based MRAM. MTJs which are the basic elements of MRAM can be used as bits for information storage. CoFeB is a well-known soft ferromagnetic material, of particular interest for magnetic tunnel junctions (MTJs) and other devices based on tunneling magneto-resistance (TMR), such as spin-transfer-torque MRAM. One particular example is the CoFeB–MgO–CoFeB system, which has already been integrated in MRAM. In all of these applications, understanding and control over the etching properties of CoFeB is crucial. Recently, transferring the pattern by using an Ar+ ion milling is a commonly used, although the redeposition of sputter etch products on the sidewalls and the low etch rate are main disadvantages of this method. Other method, which reported the etch rates higher than 50 Å/s for magnetic multilayer structures using Cl2/Ar plasmas, is also proposed. However, the chlorinated etch residues on the sidewalls of the etched features tend to severely corrode the magnetic material. To prevent corrosion of MTJ layer, the etching of MTJ layer using organic-based gases such as CO/NH3, CH3OH, etc. are actively investigated currently.

In this work, MTJ materials such as CoFeB, MgO, etc. were etched using various gas mixtures which can be expected to form volatile metallo-organic compounds and the results were compared with those etched using Cl2-based gas mixture. As one of the gas mixtures, gas mixtures of carbon monoxide (CO) and ammonia (NH3) were used as etching gases to form carbonyl volatiles, and the etched features of CoFeB thin films under by Ta cap-material were observed with transmission electron microscopy. The etch results showed the enhanced etch rates higher than 3 times by using a gas mixture of CO/NH3 compared to that etched by pure CO or NH3 possibly indicating the formation of products composed of carbonyl volatiles. The composition of etched sidewall was less damaged compared with that etched with Cl2-based gas. The characteristics of etched MTJs were also compared to the MTJs etched by the other method such as neutral beam etching, etc.

8:40 AM PS-ThM-3 Effect of SiH4 Addition on the Sidewall Passivation Mechanism during the Anisotropic Etching of III-V Materials in Cl2-based ICP Discharges
Lina Gatilova, Sophie Bouchoule, Gilles Patriarche, Stephane Guilet (Cnrs - Lpn, France)

III-V semiconductors remain unequalled materials to fabricate high efficient emitters. Anisotropic etching of III-V heterostructures is a key building-block for the processing of such photonic devices (e.g. low-optical loss waveguides or optical cavities with high quality factors, ...) and the ICP etching technique is now widely used for this purpose. Several Cl2-containing chemistries have been proposed to date for the smooth and high-aspect-ratio etching of InP-based or GaAs-based heterostructures required to reach the NIR region. We have evidenced that in many cases anisotropic etching is due to a passivation mechanism involving SiOx deposition on the III-V sidewalls [JVSTB 26, 666 (2008)]. SiOx passivation simply occurs when a Si wafer is used as the sample tray; this configuration corresponds actually to most commercial ICP etch systems having an electrode diameter of 4-in or more, used to etch III-V samples of not more than 2-in size. However this will not be the case in future large surface processing of III-Vs, when the III-V wafer becomes of the same size of the electrode, or when III-V dies bonded onto a 200/300mm wafer have to be etched, with the wafer surface covered by protecting layer that is not silicon as it may be the case in III-V/Si photonic technologies. Other passivation mechanisms have therefore to be found. For example a Si-containing gas could be added in order to maintain SiOx passivation. In this work we have investigated the Cl2-SiH4 chemistry for this purpose. It is found that highly anisotropic etching (aspect ratio > 30 for micropillars) of III-V patterns with a high etch rate (> 0.6 µm/min) can be obtained by optimizing the SiH4/Cl2 ratio, independently from the nature of the sample tray. A high selectivity > 1:30 is also obtained with the process using a metallic/ dielectric mask. Ex-situ EDX-TEM analysis of the thin (10-50 nm thick for a 3-µm etch depth) passivation layer deposited on the sidewalls of etched sub-micrometer pillars shows that in optimized conditions this layer consists of micro-crystalline silicon We also confirm that the deposition of the passivation layer is enhanced by H addition, as previously proposed in Cl2-H2 chemistry [JVSTA 27, 262, 2009]. We will further discuss the possibility to use HBr/SiH4 plasma for the anisotropic etching of InP-based or GaAs-based heterostructures, and the effect of oxygen or nitrogen addition in the gas phase on the composition of the passivation layer. We also will compare the respective effects of SiCl4 and SiH4 addition on the etched surface passivation process.

9:00 AM PS-ThM-4 Deep Inductively Coupled Plasma Etching of GaN
Julien Ladroue (GREMI - STMicroelectronics, France); Mohamed Boufnichel (STMicroelectronics, France); Thomas Tillocher, Philippe Lefaucheux, Pierre Ranson, Rémi Dussart (GREMI, France)

III-Nitride semiconductors such as gallium nitride are widely used in light emitter device manufacturing1. GaN physical properties also open new prospects in microelectronics developments2. Combining a wide bandgap (3.4eV), strong chemical bonds and high electron mobility, GaN based devices should operate under higher temperature, higher power and higher frequency than typical silicon devices. Due to inert chemical nature of GaN, wet etching is limited3. As a consequence, it is necessary to use dry etching method4 to obtain a reliable MESA structure. Chlorine based plasmas are commonly used because GaCl3 is the most volatile etching product. Etch rate is also found to strongly depend on physical sputtering. GaN etching requirements for power device applications are different from those concerning photonic devices. Due to the power density supplied to the next generation of power devices, deep structures as high as 10µm should be build. As a comparison, the etched depth needed for light emitter are of the order of a few hundreds of nanometers. Deep GaN etching implies etch rate issues as well as surface roughness defects. We showed that these etching defects are linked with dislocations and nanopipes inherent to the substrates and revealed during etching5. Experiments were mainly performed in two Inductively Coupled Plasma (ICP) reactors: an industrial Alcatel 601E, composed of an ICP source and a diffusion chamber and an ICP-RIE Corial 200IL without diffusion chamber. For a better understanding of the etching mechanisms, different diagnostics are used to characterize the plasma. Optical emission spectroscopy, Langmuir probe and mass spectrometry are performed as a function of process parameters. We observe that etching behaviour depends on cover plate material. An optimum etch rate as a function of source power is measured by using a silicon cover plate. OES and Langmuir probe measurements suggest that silicon cover plate, which is etched by chlorine radicals, can be a limitation in the etching performance of the process. Different chemistries are studied as source of active species, sputtering ions or molecule scavenging impurities. We have shown that oxygen impurities are responsible for the columnar defects. We also show that adding a small amount of nitrogen in the chemistry could increase the selectivity with SiO2 mask.

1S. Nakamura & al., Appl. Phys. Lett., 67, 1868 (1995)

2G.T. Dang & al., IEEE Trans. On Elec. Dev., 47, 692-696 (2000)

3D. Zhuang and J.H. Edgar, Mat. Sci. and Eng., 48, 1–46 (2005)

4S.J. Pearton & al., J. Appl. Phys., 86 (1999)

5J. Ladroue, A. Meritan, M. Boufnichel, P. Lefaucheux, P. Ranson and R. Dussart, JVST A submitted (2010)

9:20 AM PS-ThM-5 Mass-selected Ion Beam Study on Reactive Ion Etching Mechanisms for Pt, Co and PtCo Thin Films
Kazuhiro Karahashi, Tomoko Ito, Satoshi Hamaguchi (Osaka University, Japan)
Recently limited choices of microfabrication techniques for magnetic thin film processing have been recognized as one of the major impediments for the development of microelectronics devices such as magnetic random access memory (MRAM) and read/write heads for magnetic data storage. For magnetic films, Ar ion milling is essentially the only etching technique that is currently available in actual manufacturing processes. Whereas Ar ion milling is a well established technology, it does not provide etching selectivity that the industry currently desires. For magnetic thin films, reactive ion etching processes based on Cl2 or CO chemistry have been considered so far as good candidates. In this study, therefore, we have examined etching processes of PtCo alloy thin films by energetic Cl+ ions. More specifically we have measured desorbed products and etching yields of Pt, Co, and PtCo, using a mass-selected ion beam system. With the use of the ion beam system, we inject mono-energetic single-species ions (i.e., Cl+ or Ar+ ions) to the sample surface in ultra-high vacuum conditions. The reaction chamber, where the sample is placed, is equipped with a quadrupole mass spectrometer (QMS), a temperature programmed desorption (TPD) system, and an X-ray photoelectron spectroscopy (XPS). It has been found that the etching yields of Pt films by Cl+ ion injections are larger than those of Co films by Ar+ ion injections, and the etching yields of PtCo depend on its composition ratio. It has been also found that platinum chloride (PtClx) desorption are detected with QMS under Cl+ ion injections. These results indicate that the yield of Pt is increased by the formation of PtClx on the surface. We have also studies temperature dependence of the etching yield. With a higher temperature, the yield of Pt by Cl+ ion injections is lower because chlorine coverage on the surface is also lower at a higher surface temperature. Therefore temperature control in Pt or PtCo etching processes is of significant importance for better process control. Etching characteristics of PtCo by CO+ ion beams will be also discussed.
9:40 AM PS-ThM-6 Oxygen and Chlorine Atom Recombination on TiO2, SiO2, and SiOxCly-Coated Plasma Chamber Wall Surfaces
Rohit Khare, Vincent M. Donnelly (University of Houston)

Recombination and other possible reactions of Cl and O on chamber walls in chlorine and oxygen plasmas were studied by the “spinning wall” technique. With this method, a small cylinder (1”dia. x 1”high) in the chamber wall was rapidly rotated, periodically exposing its surface to the plasma and then to the differentially pumped diagnostic chamber housing an Auger electron spectrometer for in-situ surface analysis. The plasma chamber also contained a silicon electrode that can be rf-biased and sputtered in an inert (Ar) plasma, or etched in a chlorine plasma. Using this technique, we previously measured Cl and O atom recombination on plasma-conditioned anodized Al and stainless steel surfaces by monitoring desorption of Cl2 and O2 with a mass spectrometer or through a pressure rise. We also previously found a substantial increase in O atom recombination probabilities due to trace amounts of Cu deposited in-situ by thermal evaporation. In the current study, a smooth Ni-coated Al substrate was used. This substrate was exposed to Si sputtered from the rf-biased electrode and was then oxidized and conditioned by long exposure to oxygen plasma. Traces of Ti were deposited on this surface with the evaporator, followed by the oxygen plasma conditioning (Ti:Si:O::5:47:48 atomic percents, averaged over the ~10 nm depth probed by Auger). The O atom recombination probability on this Ti-contaminated substrate was found to be ~30% lower than on the Ti-free substrate, i.e. the opposite to that observed for Cu contamination. Ti was then etched away in the chlorine plasma, leaving an oxy-silicon-chloride surface (Si:O:Cl:: 47:39:14). The Si electrode was then etched in the chlorine plasma while rotating the substrate, coated the rotating substrate with etching products changing the substrate surface composition to Si:O:Cl :: 54:19:27. Immediately after extinguishing the rf bias to the Si electrode, the Cl atom recombination probability was found to be lower than on the more oxygen-rich Si surface. During rf bias, however, the total product yield is higher, indicating that other products (i.e. SiClx) could also be desorbing from the substrate as a result of the etching of the Si electrode.

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM PS-ThM-9 Characteristics of Silicon Nitride Etching by Reactive Plasmas Containing CHxFy Ions
Tomoko Ito, Kazuhiro Karahashi (Osaka University, Japan); Masanaga Fukasawa, Tetsuya Tatsumi (Sony, Japan); Satoshi Hamaguchi (Osaka University, Japan)
Selective etching of silicon oxide (SiO2) over silicon nitride (SiN) has been widely used in microelectronics fabrication processes such as contact hole etching in self-aligned processes, formation of a stress liner, and dual/triple hard mask (DHM/THM) etching processes of dual-damascene structures. The etching gases used in these plasma processes typically include fluorocarbon gases and etching chemistry by CxHyFz+ ions emitted from the plasma is considered to play an important role for the determination of etching selectivity. In this work, etching characteristics of SiO2 and SiN by CHxFy+ ion beams are discussed with a special emphasis on effects of hydrogen provided by CHxFy+ ions on etching selectivity. The ion beams are generated by a mass-selected ion beam system and injected with a monochromatic energy into a sample substrate (SiO2 or SiN) placed in an ultra-high vacuum chamber. The multi-beam injection system that we employed for this study also allows simultaneous irradiation of the sample by charge-neutral radical species during ion beam injection. In this way, the system enables us to simulate experimentally plasma-surface interactions that take place during the corresponding plasma etching processes. The change in chemical nature of the substrate surface during the process can be observed in situ by X-ray photoelectron spectroscopy (XPS) that is installed in the reaction chamber. Time of Flight (ToF) measurement of sputtered species from the sample surface is also possible with a mass and energy analyzer placed near the sample. In the experiments, sputtering yields of SiO2 and SiN by CF+,CHF+, CF2+, CF2+, and CH2F2+ ion beams generated from CH2F2 or CHF3 gases were examined. A typical ion dose for each ion irradiation was 1.6´1015 /cm2/sec. It has been found that the sputtering yield of SiN depends on the amount of hydrogen provided by the CHxFy+ ion beam whereas the sputtering yield of SiO2 is less sensitive to such hydrogen. Energy dependence of the sputtering yields and effects of fluorocarbon film deposition by a low-energy ion beam on hydrogen accumulation on the surface will be also discussed.
11:00 AM PS-ThM-10 H2/Ar and D2/Ar Plasma Interactions with a-C:H Surfaces: A Detailed Study of Modified Layer Formation and Erosion
Nicholas Fox-Lyon, Florian Weilnboeck, Gottlieb S. Oehrlein (University of Maryland); Ning Ning, David B. Graves (University of California at Berkeley)

The interaction of H2 gas discharges with carbon-based materials has been of sustained interest in many technological fields. In this work we have used a well characterized, inductively coupled plasma system (ICP) to study the interaction of H2/Ar and D2/Ar discharges with hard a-C:H films. The erosion of a-C:H is monitored in real time by ellipsometry, optical emission spectroscopy and plasma properties are characterized by a Langmuir probe. Our experimental setup allows for varying the reactor geometry over a wide range by changing the plasma generation substrate distance. H2/Ar and D2/Ar plasma interaction with a-C:H were performed using low pressure (30 mTorr) 600 W 13.56 MHz RF inductive power plasma with different substrate bias voltages. Employing real-time ellipsometry, we were able to monitor the detailed kinetics of the formation of a 1-5 nm thick hydrogenated layer of lower density than the a-C:H substrate, followed by steady-state erosion. The influence of various plasma parameters on modified surface properties and erosion will be reported. We also will present real-time data which gives insight into the dynamic flux of carbon atoms into the plasma produced by erosion a-C:H for different conditions . The measurements of the modified surface layers are compared with “Stopping and Range of Ions in Matter (SRIM)” simulations for different conditions. The atomistic details of surface processes will also be be compared with molecular dynamics simulations of the UCB group.

We gratefully acknowledge support of this work by DOE’s Plasma Science Center for Predictive Control of Plasma Kinetics: Multi-phase and Bounded Systems (University of Michigan ).

11:20 AM PS-ThM-11 Plasma Surface Interactions for Low-k Material Etching
Masaru Hori (Nagoya University, Japan)

Porous low-dielectric-constant (low-k) materials such as porous SiOCH film are essential for interlayer dielectric film in high performance ULSI devices. To establish extremely precise etching processes of the low-k film for the next generation devices, it is required to understand the surface reaction and damage formation mechanism during plasma processing, while developing a sophisticated methodology to control the etching and ashing processes. We developed an integrated monitoring system equipped with in-situ spectroscopic ellipsometry, Fourier transform infrared reflection absorption spectroscopy (FT-IR RAS), a substrate temperature monitor using an optical fiber-type low-coherence interferometer [1] and an absolute density monitor for H and N radicals [2,3]. The integrated monitoring system was installed in a dual frequency capacitively coupled plasma etch reactor and we investigated H2/N2 plasma interactions on the low-k film. The in-situ monitoring during the plasma etching or ashing is crucial for the clarification of damage mechanism because the damaged films are easily modified during air exposure. Furthermore, the effect of each particle, i.e. ions, photons and radicals, was investigated individually by ‘PAPE’ method [4] that uses small plates, such as Si, SiO2 and MgF2, on or above the film substrate during the plasma exposures. So far, we considered that damages on the p-SiOCH are determined by chemical reactions of H radicals that reduce the Si-CH3 bonds and N radicals that have an effect of inhibition of the damages. It was also confirmed that a portion of Si-O-Si linear structure in the SiOCH film changed to network and cage structures with decrease in Si-CH3 bond during the plasma exposure. The effects of the temperature during etching on the etch profile were also examined for a variety of H2/N2 gas mixture ratio. The higher the H radical density and the temperature, the lager the undercut in the low-k pattern profile. Especially, the temperature increase after plasma ignition was found to be a cause of the profile deformation. Based on the above results, we proposed an autonomously-controlled etch system that realized a real-time feedback control for the fine pattern etching while monitoring the wafer temperature, radical densities and so on. It was demonstrated that real-time radical-density control upon the temperature was effective for obtaining precise pattern profiles.

[1] K. Takeda, et al., J. Appl. Phys., 43, 7737 (2004).

[2] S. Takashima, et al., Appl. Phys. Lett., 75, (25), 3929 (1999).

[3] S. Takashima, et al., J. Vac. Sci. Technol., A 19, 599 (2001).

[4] S. Uchida, et al., J. Appl. Phys. 103, 073303 (2008).

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule