AVS2010 Session PS1-TuA: Advanced BEOL/Interconnect Etching II

Tuesday, October 19, 2010 2:00 PM in Room Aztec

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule

Start Invited? Item
2:00 PM PS1-TuA-1 Reaction Mechanism and Profile Evolution for Cleaning and Sealing Porous Low-k Dielectrics using He/H2 and Ar/NH3 Plasmas
Juline Shoeb (Iowa State University); Mark J. Kushner (University of Michigan, Ann Arbor)

Porous dielectric materials offer lower capacitances that reduce RC time delays in integrated circuits. Typical low-k materials include SiOCH – silicon dioxide with carbon groups, principally CH3, lining the pores. Fluorocarbon plasmas are often used to etch low-k materials. These processes leave a fluorocarbon polymer on the low-k surface that must be removed. This is often done with oxygen containing plasmas. With porosities as high as 0.5, pores open to the surface and which are internally connected provide pathways for reactive species to enter into the porous network. Reactions during plasma cleaning of, for example, O atoms with the CHx groups, can increase the k value of the material by removing C atoms. To maintain the low-k value, cleaning the CFx polymer and sealing of the surface must be performed without significantly altering the SiOCH material properties, and not removing the CHx groups. Plasma cleaning with He/H2 mixtures is capable of removing these CFx residues without harming the underlying low-k surface and can also strip off the hydrocarbone photoresist (PR) mask.

In this talk, we discuss results from modeling of the plasma cleaning and sealing of porous SiOCH in sequentially applied He/H2 and Ar/NH3 plasmas. The HPEM (Hybrid Plasma Equipment Module) was employed to obtain the ion energy and angle distributions of reactive fluxes from inductively coupled plasmas. These are used as input to the MCFPM (Monte Carlo Feature Profile Module) with which profiles of the low-k materials after the plasma exposures are predicted.

We found that hot hydrogen atoms can remove the CFx polymer, generating mainly HF and fluorohydrocarbons such as CHF2. These hot H-atoms can simultaneously remove H from the surface resident CH3 groups thereby activating the SiOCH surface by creating C dangling bonds. He ions are also effective at breaking Si-O bonds creating dangling while also removing H-atoms from CH3 group, both of which activate the SiOCH surface. Unlike O2 plasma cleaning, the He/H2 clean creates more reactive CHx (x = 1,2) sites without significantly damaging the substrate. Following the He/H2 plasma cleaning, NH3 plasma treatment seals the pores by NHx (x=1,2 and 3) species passivating previously produced dangling bonds, forming Si-N and C-N bonds.[1] Initial results indicate that combined He/H2 and Ar/NH3 plasma treatment of SiOCH with pores having radii of 0.8 nm can seal nearly 100% of the surface pores.

* Work supported by Semiconductor Research Corp.

[1] A. M. Urbanowicz, M. R. Baklanov, J. Heijlen, Y. Travaly, and A. Cockburn, Electrochem. Solid-State Lett. 10, G76 (2007).

2:20 PM PS1-TuA-2 Mechanism of Modification in Si-O-Si Structure in Porous SiOCH Low-k Films by H2/N2 Plasmas
Hiroshi Yamamoto, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo, Makoto Sekine, Masaru Hori (Nagoya University, Japan); Tsubasa Imamura, Hisataka Hayashi, Itsuko Sakai, Tokuhisa Ohiwa (Toshiba Corporation, Japan)
Introduction of porous low-dielectric-constant (low-k) materials such as porous (p-) SiOCH film, to the interlayer dielectric is important for improving performances of ULSI devices. The trench sidewall in the p-SiOCH film is known to suffer serious damage during the plasma processes. The in-situ evaluation is crucial for the clarification of damage generation mechanism because the damaged films are easily modified during air exposure. In this work, we have investigated the impact of ions, radicals and light from H2/N2 plasma and subsequent air exposure on Si-O-Si bond structure in the film using in-situ Fourier transform infrared reflection absorption spectroscopy. A 75-nm-thick p-SiOCH film was coated on 150-nm-thick Tungsten film deposited on Si substrate. A Si plate or an MgF2 window which transmits light (greater than 115 nm in wavelength) was set 1 mm above or just on the film during the plasma exposure. Samples were placed on the lower electrode in a VHF-CCP etcher with (a) no plate for evaluating the interaction of ions, radicals, and light, (b) Si plate for evaluating the impact of radicals, (c) MgF2 window for evaluating the effect of light and radicals, and (d) MgF2 window with no space for evaluating the light effect. To investigate the Si-O-Si bond modification in the films, IR absorption signal in 985-1250 cm-1 were decomposed to three bands with peaks at 1035, 1065, and 1149 cm-1, which correspond to the linear, network and cage structures, respectively. The change in the three peak area ratios were investigated after H2/N2 plasma and air exposures. The peak area ratio of the linear structure decreased and the ratio of network and cage structure increased after the H2/N2 plasma exposure in all samples. The ratio of linear structure decreased and the ratio of cage structure increased after the air exposure in all samples. In the case of sample (a), that was exposed to ions, radicals, and radiation, the amount of change in Si-O-Si structure was relatively smaller than the amount of decrease in Si-CH3 bond. It was confirmed that a portion of Si-O-Si linear structure in the SiOCH film changed to network and cage structure with decrease in Si-CH3 bond during the H2/N2 plasma exposure. Si-NH2 bonds and dangling bonds formed by the plasma exposure reacted with water in the atmosphere and the Si-O-Si structure was modified with Si-OH bonds formation. Ion bombardment made the top surface shrink and the densified layer inhibited moisture uptake into the film during air exposure. This work was supported by Grant-in-Aid for Scientific Research (21・10187).
2:40 PM PS1-TuA-3 Effect of UV-wavelength on Hardening Process of Porogen-containing and Porogen-free Ultra-low-k PECVD Glasses
Adam Urbanowicz, Kris Vanstreels, Patrick Verdonck, Els Van Besien, Christos Trompoukis, Denis Shamiryan, Stefan De Gendt, Mikhail R. Baklanov (IMEC, Belgium)
The ITRS scaling of ultra-large-scale integrated circuits requires mechanically robust materials with low k-value. Low-k materials recently used in the Cu/low-k integration scheme have k-values between 2.5 and 3.0. One of the limiting factors in further reduction of k-value is mechanical robustness, since major way to decrease k-value is increasing the material porosity. The PECVD low-k deposition of ultra low-k films uses a porogen-based approach. The matrix material is deposited by oxidation of alkylsilanes in a plasma-enhanced chemical vapor deposition (PECVD) process. The porogen molecules, usually cyclic hydrocarbons, are introduced into a SiOCH film by co-deposition with the matrix material. To create porosity, the porogen is removed from the films using UV-assisted-thermal curing.The porogen molecules are photo-dissociated by UV-light with the formation of volatile hydrocarbons and non-volatile carbon-rich residues (porogen residue) [1]. We have shown recently that SiOCH glasses with improved mechanical properties and ultra-low-k value could be obtained by controlled decomposition of the porogen molecules prior to the UV-hardening step [2]. The controlled removal of porogen can be performed by H2-based afterglow plasma treatment of PECVD film [1,2].
In this work we study the effect of narrow band 172 nm and broadband >200 nm UV-sources in the new curing scheme of the PECVD dielectrics. The data are compared with the PECVD films fabricated in the conventional UV-curing scheme. The effect of both 172 nm and >200 nm UV-sources is comparable for porogen-containing conventional PECVD films. However, the porogen-free films cured with 172 nm UV-source shows approximately twice as higher Young’s modulus (YM) of 6.64 GPa (k100kHz ~ 2.2, 44% open porosity) than those cured with >200 nm UV with YM of 3.38 GPa (k100kHz ~ 2.0, 48% open porosity). The mechanical properties, optical properties 150 nm - 800 nm, dielectric constants at 100 kHZ and 4 GHz, porosities and pore size distributions, bonding structure are presented. The impact of porogen on optical characteristic and therefore on photochemical UV-hardening mechanism is discussed. The achieved mechanical properties are explained on a basis of the percolation of rigidity theory and random network concepts.
References
[ 1 ] A. M. Urbanowicz, K. Vanstreels, D. Shamiryan, S. De Gendt and M. Baklanov, Electrochem. Solid State Lett., 12, H292 (2009).
[ 2 ] A. M. Urbanowicz, K. Vanstreels, P. Verdonck, D. Shamiryan, S. De Gendt and M. R. Baklanov, accepted at J. Appl. Phys.107,xxx, (2010).
 
 
 
3:00 PM PS1-TuA-4 CF3I for Low-k Etching: Overcoming Current Technology Limitations
Vincent Omarjee (American Air Liquide – Delaware Research and Technology Center); Adam Gildea, Eric Eisenbraun (The University at Albany-SUNY); Nathan Stafford, Francois Doniat, Christian Dussarrat (American Air Liquide – Delaware Research and Technology Center)
Every technology node brings new processing challenges. The etching of low-k and ultra-low-k (k=2.4 and below) materials that are currently used in leading edge interconnects is very demanding. With a porosity varying from ~15 to 30%, the films are easily damaged during the various processing steps and it is easy to have undesired film modifications (physical defects, collapse, impurity penetration, roughening of the surfaces, moisture uptake…) that degrade interconnect reliability and performance.
 
In this talk we will present recent work done on optimization of dielectric etching using CF3I, a promising replacement gas for CF4 in interconnect etch applications. Using a Design of Experiment (DOE) approach through a Taguchi-like Method combined with the capabilities of a State-of-the-Art Unaxis 200mm wafer etch system, the influences of the key parameters on the etching mechanism and performance will be presented. The DOE approach is introduced here to minimize the number of experiments while maximizing the process understanding. For instance, one of the results of the experimental matrix suggests an etching rate contribution of CF3I that is non-linear. In addition to characterization of etch rate, the samples are characterized using RBS, AES and SEM to fully understand the impact of the process parameters on overall film quality. Baseline data as well as structure patterning will be presented after the optimization process using CF3I alone and also using CF3I mixed with well established fluorocarbons.
 
 
 
 
 
3:20 PM BREAK
4:00 PM PS1-TuA-7 Challenges in sub-100nm Dual Damascene Etch of Porous Oxycarbosilane Ultra Low-k Dielectrics for BEOL Integration
Robert L. Bruce, Sebastian U. Engelmann, Sampath Purushothaman (IBM T.J. Watson Research Center); Theo J. Frot (IBM Almaden Research Center); Maxime Darnon, Mike Lofaro, Stephan Cohen (IBM T.J. Watson Research Center); Willi Volksen, Teddie P. Magbitang, Leslie Krupp, Geraud Dubois (IBM Almaden Research Center)

There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the interconnect layer dielectric (ILD) in back-end-of-line (BEOL) manufacturing due to their superior mechanical properties compared to conventional porous SiCOH at equivalent porosity and dielectric constant. [1-4] While it is well known that plasma etching and ashing processes can cause significant damage to porous ultra-low k dielectric materials in general, little has been reported about the effect of plasma damage to POCS as the ILD material. In this work, we discuss the effect of plasma etching and ashing processes on POCS during the fabrication of single and dual damascene structures for BEOL integration. We used TEM-EELS to quantify chemical composition changes at the top, bottom, and sidewalls of POCS trenches after each plasma etching and ashing step. After plasma processing, POCS structures undergo extensive plasma damage such as pitting, microtrenching, and the generation of trench bottom roughness. Opening of the cap layer exacerbates these etch damage features. Damage is reduced by increasing the neutral-to-ion ratio of the gas discharge in the ULK trench etch and eliminating O2 from the ashing process. The use of vapor phase silylation between etch process steps is also shown to repair plasma-damaged POCS. However, we show that the most significant improvement to post-plasma damage occurred by reducing the pore size of the starting POCS material while maintaining comparable porosity, i.e. dielectric constant.

[1] W. Volksen, et al., Chem. Rev. 110, 56 (2010).

[2] G. Dubois, et al., J. Of Sol-Gel Science and Technology 48, 187 (2008).

[3] G. Dubois, et al., in Dielectric Films for Advanced Microelectronics, edited by M. Baklanov, et al., (Wiley, New York, 2007), p. 33.

[4] G. Dubois, et al., Adv. Materials 19, 3989 (2007).
4:20 PM PS1-TuA-8 Mechanism of Highly Selective SiO2 Etching over Photoresist Using New Alternative Gas, C5HF7
Yudai Miyawaki, Yusuke Kondo, Keigo Takeda, Kenji Ishikawa, Makoto Sekine, Hiroki Kondo (Nagoya University, Japan); Azumi Ito, Masahiro Nakamura (Zeon Corporation, Japan); Masaru Hori (Nagoya University, Japan)
With the continuous demand for increasing the storage capacity of semiconductor memory devices, a much precise etching process for high aspect ratio contact holes in SiO2 film is indispensable. The aspect ratio of more than 20 will be required for 45-nm node in 2010. Furthermore, deterioration of the SiO2 selectivity over a fragile, thin photoresist would cause the sidewall roughness and poor pattern-width definition. In this study, we utilized a newly designed environmentally-friendly (low global warming potential) gas, C5HF7, and compared the etch performances with conventional C5F8 gas. A very-high-frequency (VHF) capacitively coupled plasma (CCP) etcher was used with 1800 W VHF power and 2 MHz bias of 1200 W. C5F8 or C5HF7 gas was introduced with O2 and Ar (C5F8 or C5HF7 /O2/Ar = 15 / 10-35 / 300 sccm). We evaluated the dependence of O2 flow rate on the etching rates of SiO2 and KrF photoresist and SiO2 selectivity to the resist. The gas phase species, O radical (O*) and CF3+, were measured using Vacuum Ultraviolet Laser absorption spectroscopy (VUVLAS) and a quadruple mass spectroscopy (QMS). The C5F8 gas chemistry showed the maximum selectivity of 3.7 with the etching rate of 416 nm/min at 20 sccm O2 flow rate. In contrast, C5HF7 chemistry realized much higher selectivity (more than 13.5) with the etching rate of 356 nm/min at 25 sccm O2 flow rate. It was confirmed that almost four times higher selectivity than that of the conventional C5F8 gas was obtained by using the new C5HF7 gas. In the both gas chemistry, the density of CF3+ ion, that could be one of the dominant etch species for SiO2, showed the maximum value at the maximum etch rate conditions. The variation trends for O* densities were similar to the resist etch rate in C5HF7/O2/Ar plasma. It was also speculated that the H atoms from C5HF7 reduced the density of F radical that would enhance the resist etch rate. The reason for the high selectivity would be examined by measuring the surface chemical compositions and the gas phase species, such as CFX and F.
4:40 PM PS1-TuA-9 Etch Characteristics of SiO2 in the CxFy Dual-Frequency Capacitive Coupled Plasma
Min-Hwan Jeon, Se-Koo Kang, Jong-Yoon Park, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

The capacitive coupled plasma (CCP) has been extensively used in the semiconductor industry because it has not only good uniformity, but also low electron temperature. But CCP source has some problems, such as difficulty in varying the ion bombardment energy separately, low plasma density, and high processing pressure, etc. In this reason, dual frequency CCP has been investigated with a separate substrate biasing to control the plasma parameters and to obtain high etch rate with high etch selectivity. Especially, in this study, we studied on the etching of SiO2 by using the dual-frequency CCP source composed of high (27.12, 60, etc.) MHz/ low (2.0, etc.) MHz rf powers to control the ion flux and ion energy impacting on the substrate independently. By using the combination of high /low rf powers, the differences in the gas dissociation, plasma density, and etch characteristics were investigated.

For SiO2 etching, fluorocarbon gases are commonly used, because a polymer film which decreases the etch rate is deposited on the silicon surface and enhances the etch selectivity to SiO2 etching. Therefore, in addition to the frequency variation for SiO2 etching, the plasma characteristics such as gas dissociation characteristics, plasma density, electron energy distribution, etc. were investigated by varying chemical composition of fluorocarbon gases. With the measurement of plasma characteristics, SiO2 etching characteristics were also investigated and correlated with the variation of plasma characteristics. The etch rate was decreased with increase in C/F ratio in order of CF4, C2F6, and C4F8 plasma, which forms a thicker fluorocarbon polymer on the SiO2 surface. We observed the increase of CF2 radicals in the higher C/F ratio plasma by using optical emission spectroscopy (OES). And the etch rates were increased with the low frequency (2 MHz) power for all fluorocarbon plasmas. When the low frequency power was increased, a steady-state fluorocarbon polymer thickness on the SiO2 surface was reduced by the ion energy during the process, resulting in the increase of the etch rate. The X-ray photoelectron spectroscopic analysis on the surfaces etched by different low frequency powered conditions correlate with the results above.

5:00 PM PS1-TuA-10 Ultra-high Selectivity Silicon Nitride Liner Etch: Mitigating Substrate Damage in Logic-based Contact Level Interconnects
Andrew Metz, Honyun Cottle, Yuki Chiba, Peter Biolsi (TEL Technology Center America); Meng Luo, Erik Geiss (Global Foundries); Sug Hyun Sung (Samsung Electronics); Massud Aminpur, Richard Wise (IBM Microelectronics)

Reactive Ion Etch [RIE] of Silicon Nitride films, utilized primarily as spacers, hard masks or etch stop layers [ESLs], is pervasive throughout logic and flash microelectronics fabrication processes. While the most critical RIE-related specifications vary widely depending on the specific application and photolayer, contact level liner/ESL removal is among the most challenging. This application ideally requires high etch selectivities to multiple material types [including NiSi, SiO2, Si, and SixGey] at the bottom of a high aspect ratio feature where etch stop marginality in a polymer rich regime can impact opens yield. Furthermore, relying on high radical density, low ion energy, primarily chemical etch processes utilized for SixNy type spacer applications often lack profile control where sidewall bowing can result in metallization related yield fallout.

This work characterizes a new contact RIE process developed for 28nm and beyond technology nodes. Reported is the successful integration of an ultra high selectivity SixNy liner removal process [> 40:1 in hole selectivity for SixNy : Si]. Cross-sectional characterization of contact profile and in hole selectivity data will be provided. High Opens/Shorts yield [equal or better than baseline] as determined by voltage contrast metrology and inline E-Test will be shown. In addition, a 10x reduction in gate leakage will be shown based in-line E-Test attributable to reduced active area Si loss/recess.

5:20 PM PS1-TuA-11 Achieving Lithographically Independent sub-35nm Vias for Phase Change Memory Applications
Eric A. Joseph, Ravi Dasaka, Matt Breitwisch, Alejandro G. Schrott, Chung H. Lam (IBM T.J. Watson Research Center)

Non-volatile phase change based memory has recently garnered significant interest due to its potential for scalability beyond that of conventional DRAM and Flash memory technologies.[1] However, multiple etch challenges exist in the fabrication of robust PCM devices and not all have been thoroughly discussed and/or researched. For instance, patterning of the novel phase change material without causing material modification and performance degradation is a well known issue and has been reviewed in the past.[2,3,4] On the other hand, the ability to fabricate robust and uniform sublithographic contacts (to the phase change material) is rarely discussed from an etch perspective. In this presentation, this latter issue is explored in detail and a lithographically independent etch process scheme with the capability of yielding sub-35nm vias is presented. Critical factors such as oxide and nitride etch selectivity, taper angle and etch stop phenomenon are all reviewed. Lastly, the application of this method for logic applications such as gate contacts and BEOL via patterning will also be discussed with a focus on the ultimate scaling limitations of this process.

[1] Y.C. Chen, C.T. Rettner, S. Raoux et al., IEDM Tech. Dig., p. S30P3, 2006.

[2] P. Petruzza, Paper PS-MoA4, AVS 54th International Symposium, 2007

[3] E. A. Joseph, T. D. Happ, S.-H. Chen, S. Raoux, et al., Symp. VLSI-Technology Systems and Applications, 2008. pg 142-143, 2008

[4] E. A. Joseph, S. Raoux, J. L. Jordan-Sweet, D. Miller, H-Yu Cheng, A. Schrott, C-F. Chen, R. Dasaka, B. Shelby, Y. Zhang, C. Lam, J. Washington, G. Lucovsky and M. Paesler, Paper PS1-ThM11, AVS 56th International Symposium, 2009

5:40 PM PS1-TuA-12 The Evaluation of Sidewall Polymerization during Platinum Dry Etching Process using Inductively Coupled Cl2/O2/Ar and CH3OH Plasmas
Joo-Young Moon, Jung-Woo Park, Min-Suk Lee, Byung-Gu Jyun, Won-Joon Choi, Sang-Hoon Cho, Jae-Sung Roh, Sung-Ki Park (HYNIX Semiconductor Inc., Republic of Korea)
It has been reported that platinum is the most appropriate resistive switching RAM (ReRAM) electrode material due to its good oxidation-resistance, high electrical conductivity and low leakage current characteristics. However, platinum does not easily form the volatile products, and the unwanted sidewall polymer which is produced during plasma etch process gives a large shift in the pattern size of the etched pattern and also makes it difficult to remove. In this study, experimental studies of platinum etching process have been performed to understand the characteristics of sidewall polymerization using inductively coupled Cl2/O2/Ar and CH3OH plasmas etching process. The effects of etch parameters such as gas combination and substrate temperature on the characteristics of etch properties of platinum were also investigated to minimize sidewall polymer re-deposition in the point of re-deposited sidewall polymers. The thickness of re-deposited polymers related to platinum were measured and studied by high resolution transmission electron microscopy (HR-TEM). The chemical reactions on the etched surface also have been studied by X-ray photoelectron spectroscopy (XPS).
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule