AVS2010 Session PS-TuP: Plasma Science and Technology Poster Session

Tuesday, October 19, 2010 6:00 PM in Room Southwest Exhibit Hall

Tuesday Evening

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule

PS-TuP-1 Etching Characteristics of Ge2Sb2Te5 in Chemical Effects for the Phase-Change Memory Applications
Jung-Taik Cheong, Hyun-Min Lee, Jin-Seok Yang, Ha-Chang Jung, Hyun Chul Lee, Yong-Sun Sohn, Hyo-Sang Kang (Hynix Semiconductor)
The present study aims at providing the fundamental data with respect to GST(Ge2Sb2Te5) composition and GST damage depending on etch conditions. GST etching in this study was processed by changing etchants. By Cl2 based GST etching, it was found that, there appeared degradation in the surface roughness due to GST damage, which is identified by XRD(x-ray diffraction) as an unknown phase different from FCC or HCP phases of GST, As for the sample etched by using CF4 of high ratio and Ar gas, the GST were found damage area where Ge and Sb were deficient in stoichiometry. Meanwhile, GST etch by CF4 of low ration and Ar gas exhibited the good results of this study, we have demonstrated the damage-free GST etching, which will be applicable for the Phase-Change Memory device.
PS-TuP-2 Etch Characteristics of TiN for Metal/High-k Gate Stack using Inductively Coupled Plasma
JungSoo Park, Jong-Chang Woo, Chang-Il Kim (Chung-Ang University, Republic of Korea)

The ultra thinned body fully depleted silicon on insulator (UTB-FD SOI) is the solution to problems of short channel effect by shrinking the gate length. This device has many advantages of high drive current, high conductance and ideal sub threshold slope12. This device uses the TiN/HfO2 gate stack . The metal/high-k stack structure is being the core technology because reduction of device is faced with physical limitations.

So, we have to study about this metal/high-k stack3.

In this study is investigated the dry etching characteristics of the TiN in the TiN/HfO2 gate stack using inductively coupled plasma system. TiN thin film is etched by CF4/Cl2/He plasma. We investigate the etching chemistry of the CF4/Cl2 gas mixture. Etching parameters are gas mixing ratio, the RF power, the process pressure in this study. The chemical reactions on surface of the etched TiN and etched HfO2 are investigated by X-ray photoelectron spectroscopy. The profile of the etched TiN is investigated by Scanning electron microscope.

Reference

1S. Mukhopadhyay, K. W. Kim, X. Wang, D. J. Frank, P. Oldiges, C. T. Chuang and K. Roy, IEEE 27(4), 284 (2006)

2S. Eminent, S. Cristoloveanu, R. Clerc, A. Ohata and G. Ghibaudo, Solid-state Electronics 51(2), 239 (2007)

3D. P. Kim, X. Yang, J. C. Woo, D. S. Um and C. I. Kim J. Vac. Sci. Technol. A 27(6) 1320 (2009)

PS-TuP-3 Etch Characteristics of HfAlO3 Thin Films in High Density Plasma
Tae-Kyung Ha, Jong-Chang Woo, Chang-Il Kim (Chung-Ang University, Republic of Korea)

With the permanent scaling down of complementary metal oxide semiconductor (CMOS) devices, the thickness of the gate oxide is expected to be reduced to less than 1 nm for the 45 nm and 32 nm technology nodes. Continuing to reduce the gate insulator thickness using SiO2 is problematic as gate leakage current due to direct-tunneling increase.1-2 One solution to the problem is the replacement of SiO2 by high-k material. HfAlO3 have arisen as a promising material for gate oxide replacement due to their high dielectric constant, bandgap, and recrystallization temperature. Therefore, a further on the study of HfAlO3 thin films is needed.3

In this work, HfAlO3 thin films were etched in BCl3/He plasma. The etching characteristics of HfAlO3 thin films were investigated in terms of etch rates and selectivity as a function of gas mixing ratio, RF power, DC bias voltage, and chamber pressure. The total flow rate of BCl3/He was fixed at 20 sccm. The other parameters were varied as follows; RF power = 400 ~ 600 W, DC-bias voltage = - 50 ~ - 200 V, process pressure = 1 Pa ~ 3 Pa. The plasma diagnosis was characterized by optical emission spectroscopy (OES) analysis. The chemical reaction on the surface of the etched the HfAlO3 thin films was investigated with X-ray photoelectron spectroscopy (XPS). Field emission scanning electron microscopy (FE-SEM) was used to investigate the etching profile.

Refernce

1G. D. Wilk, E. M. Wallace, J. M. Anthony. J. Appl. Phys. 89, 5243 (2001).

2A. I. Kingon, J. I. Maria, S. K. Streiffer, Nature 406, 1032 (2000).

3W. J. Zhu, T. Tamagawa, M. Gibson, T. Kurukawa, and T. P. Ma. IEEE Electron Device Letters 23, 649 (2002).

PS-TuP-4 The Dry Etching of ITO Thin Films on Glass for Flat Panel Displays
Jae-Hyung Wi, Jong-Chang Woo, Chang-Il Kim (Chung-Ang University, Republic of Korea)
Amongst the most widely employed thin films in Flat Panel Displays (FPDs) belong to the described as Transparent Conducting Oxide (TCO) materials. When the thin films were applied for devices, etching characteristics is important process factor due to availability of patterning is directly linked with productivity. The TCO materials should have to proper etching characteristics for transparent electrode1. By far the most common industrially employed TCO is Indium Tin Oxide (ITO), which is more correctly described as Sn-doped In2O3. An n-type semiconductor, it offers an optimum performance in terms of conductivity and transparency. In order to utilize properties of thin films such as ITO it is usually necessary to pattern them to create functional structures. The conventional method for patterning is to use wet chemical etch process. Such techniques require multiple process stages, large expensive machinery, small-geometry patterning2.
In this study, the relationship between patterning characteristics and other characteristics which depend on etch conditions, especially the RF power, the DC bias voltage, the process pressure, was investigated in ITO films etched by the inductively coupled plasma (ICP) system3. The analysis of x-ray photoelectron spectroscopy (XPS) was carried out to investigate the chemical reactions between the surfaces of ITO thin films and etch species.
References
1Z. Wang, S. Naka, H. Okada, Thin Solid Films, 518 (2009) 497.
2C.J. Huang, Y.K. Su, S.L. Wu, Materials Chemistry and Physics 84 (2004) 146.
3J.C. Woo, D.S. Um, C.I. Kim, Thin Solid Films 518 (2010) 2905
PS-TuP-5 Effect of N2/Ar Flow Rates on Surface Roughness during High Speed Thinning of Si Wafer using F Radicals and NO Gas
Wook Heo, Nae-Eung Lee (Sungkyunkwan University, Republic of Korea)
In this work, we investigated on evolution of the surface roughness and morphology of thinned Si surface and die strength of thinned Si during high-speed chemical dry thinning of Si wafers ground by chemical mechanical polishing down to 100 mm. The direct injection of NO gas into the reactor during the supply of F radicals from NF3 remote plasmas was very effective in increasing the Si thinning rate above 22.8 mm/min, due to theNO-induced enhancement of the surface reaction,but resulted in the significant roughening of the thinned Si surface. However, the addition of directly-injected N2/Ar gas, together with NO gas, decreased the root mean square (RMS) surface roughness of the thinned Si wafer significantly. Therefore, rough surfaces of mechanically ground Si wafers could be effectively smoothened by adjusting the additive gas flow rates of N2/Ar during chemical dry thinning of the Si thickness larger than 50 mm. We also measured mechanical strength of thinned Si wafer in order to understand the effect of chemical dry thinning on removal of mechanical damage generated during mechanical grinding. Fracture strength of the thinned Si wafers was measured using 3-point bending test and compared. The results indicated that chemical dry thinning with reduced surface roughness and mechanical damage effectively increased the fracture strength of the thinned Si wafer. It is expected that high-speed dry chemical thinning process has possibility of application to ultra-thin Si wafer thinning with controlled surface roughness and mechanical damage removal after mechanical grinding of silicon wafer.
PS-TuP-6 Modelling of the Silica Glass Etching under ICP SF6/Ar Plasma Discharge
Ludovic Lallement, Ahmed Rhallabi, Marie Claude Fernandez, Christophe Cardinaud (Institut des Materiaux Jean Rouxel, France)

Quartz or pure fused silica is selected material for the fabrication of biochip devices and more specifically electrophoresis chips. Indeed, these materials benefit from transparency in the UV-visible range, and low dielectric breakdown. However material cost is higher in comparison to silica glass which offers similar properties with a low purity degree. Plasma deep etching techniques are well established for fused silica and quartz, but much more challenging for glass. In the present study, the etching simulator has been developed to study the etching of silica glass (Pyrex, D263, AF45, and Vycor) in SF6/Ar plasma. The etching model is based on the development of the plasma kinetic model coupled to 2D Monte Carlo surface model to predict the etched surface morphology of glasses as a function of the operating conditions.

The gas phase kinetic model is based on the mass balance equations of reactive species. The kinetic constants of electron impact reactions are established as a function of electron temperature assuming maxwellian distribution of electron energy. The additional equation of power balance in the ICP reactor allows to determine the electron temperature evolution with the plasma discharge parameters (Rf power, reactor pressure and SF6/Ar flow rates).

Langmuir probe is used to measure the electrical parameters of SF6/Ar plasma mixture such as, electron temperature and density as a function of the plasma discharge parameters. A good agreement between the simulations and the experiments have been observed

One of the advantages of our model is the coupling between the plasma chemistry model and the surface etching model. The later is based on the Monte-Carlo approach which allows to describe, in a probabilistic manner, the surface mechanisms for silica glass etching.

The direct fluxes of the reactive species such as fluorine and ions are determined from the gas phase kinetic model and introduced as the input parameters in the glass etching model.

On the other hand, surface analyses such as the etch rate, surface roughness (profilometry), and surface topography (AFM) of silica glass as a function of operating conditions have been carried out.

The preferential redeposition mechanism of the etched products on the metallic sites seems to play an important role on the propagation of the etched surface roughness. A satisfactory agreement between experimental results and the model concerning the etching rate and the etched surface morphology have been obtained for different glasses.

PS-TuP-9 Highly Selective and Low Damage Etching of TiN on HfO2 Layer Gate Stack Structure using HBr/Cl2 Neutral Beam
JeKwan Yeon, WoongSun Lim, Yi Yeon Kim, Byoung Jea Park, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

As the critical dimension of metal-oxide-semiconductor field-effect transistor (MOSFET) shrinks to 45 nm and below, conventional poly silicon gates on ultrathin SiO2 dielectric layers need be replaced by metal gates on high-k dielectric materials. However, the successful adoption of these new materials imposes new integration problems. Among many integration issues, selective etching of metal gate electrodes and the high-k gate dielectrics over the Si substrate is expected to be one of the critical steps in the process integration of the front end of the line. In the case of TiN etching on HfO2 layer using conventional RIE etching, HfO2 layer can be electrically damaged by charged particle leading to higher leakage current, the change of threshold voltage, etc. In order to solve these problems, in this study, we investigated etch characteristics of TiN on HfO2 layer using low angle forward reflected neutral beam and compared with those by conventional RIE etch process.

As a result, we observed nearly unlimited etch selectivity of TiN/HfO2 uisng HBr/Cl2 gas mixing neutral beam by controlling energy (<100 eV). Also, using TEM and AFM, we observed an anistropic etch profile and smooth surface roughness (0.109 nm). Neutral beam for metal gate etching process turns out to be very promising for gate/high-k dielectric complementary MOSFETs due to lower interface trap generation during etching process.

PS-TuP-10 Atomic Scale Etch Depth Control and Low Damage Etching of III-V Compound Materials using Cl2 Atomic-Layer Etching
Yi Yeon Kim, WoongSun Lim, JeKwan Yeon, TaeHyung Kim, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

III-V compound materials have been used for the devices such as high electron mobility transistors (HEMTs), light emitting diodes (LEDs), and quantum dot (QD) devices due to its excellent material properties including high carrier mobility, wide operating temperate range, direct energy band structure, etc. For the fabrication of these III-V compound materials devices, reactive ion etching techniques such as capacitively coupled plasma etching, inductively coupled plasma (ICP) etching, etc. are generally applied to obtain anisotropic etching properties. However, due to the energetic reactive ions involved in the reactive ion etch process, the surface of the etched III-V compound materials tends to be damaged physically and chemically by structural disruption, intermixing, stoichiometric modification, surface roughening, etc. In addition, it is difficult to control the etch depth precisely through the reactive ion etching due to the fluctuation of the etch process. To overcome these problems, various atomic layer etching techniques (ALETs) have been investigated especially as the application to the nano-device processing which requires atomic-scale precision in the etching in addition to the nearly no-damage to the surface during the etching .

The etch characteristics of III-V compound materials by ALET were investigated using a Cl2-based ALET. The effect of ALET on surface modification and etch-depth control was also examined. Self-limited etching of III-V compound materials could be obtained using Cl2 ALET. In addition, the significant improvement in the electrical properties of the III-V device could be obtained by etching the damage sensitive layer using ALET.

PS-TuP-11 Surface Model for Profile Simulation of SiO2 Etching in Fluorocarbon Gas Chemistry
Takashi Yagisawa, Toshiaki Makabe (Keio University, Japan)

As the size of ULSI elements shirinks further, functional design for a top-down plasma processing will be strongly needed in order to solve many types of technological difficulties induced by plasma etching. Actually, under the present design rule for DRAM devices, a contact hole with high aspect ratio (> 20) is required.

The reactive ion etching (RIE) of high aspect contact hole (HARC) or inter layer dielectric has been traditionally performed by fluorocarbon gas chemistry in a two-frequency capacitively coupled plasma (2f-CCP) reactor. As is well known, SiO2 etching in fluorocarbon chemistry proceeds under the competition of surface protection by the deposition of CxFy radicals and chemical sputtering by directional CFx+ ions. Under a practical condition for SiO2 etching where the radical flux is larger than that of ions, a reactive mixing layer (SiOxFy), formed under excessive F radicals assisted by high-energy ion bombardment, is always covered with thick polymer layer (CxFy). Consequently, the etching is essentially carried out through the removal of polymer layer and the chemical reaction in a mixing layer. The side wall is simultaneously protected against the energetic ions by CxFy polymer deposition.

Under the circumstance, we have developed the two-layer sufrace model for the simulation of SiO2 etching profile in fluorocarbon gas chemistry. This model clarified the effects of reactive species (ions and radicals) on the SiO2 etching profile [1] and the dependence of etch rate on the pattern size (RIE-lag) [2].

In this paper, we will propose a new surface model for SiO2 etching which accounts for the selectivity between SiO2 and underlying Si substrate in HARC processing. Feature profile evolution and the selectivity during SiO2 etching can be coincidentally discussed as functions of flux of reactive species and impact ion energy. In addition, the effect of resist mask erosion will also be discussed.

[1] T. Shimada et al, Jpn. J. Appl. Phys. 45, p. 132, (2006).

[2] T. Makabe et al, Plasma Sources Sci. Technol. 18(1), #014016, (2009).
PS-TuP-12 General Approach to Feature Profile Evolution via Monte Carlo Simulations
Paul E. Moroz (TEL US Holdings); Peter Miller (HFS)
This report will discuss numerical techniques used in the general feature profile evolution simulator FPS-3D. We call it general because it does not have any hardcoded dependencies, such for example, as etch or deposition rates, or dependencies on energy or angle of incident reactive particles (ions as well as neutral radicals and gases). The code thus can be applied to targets consisted of any set of solid materials and exposed to any fluxes of reactive particles with any distribution on energy and angle. Although the code is called FPS-3D, it actually has two options, 2D and 3D, which allow convenient comparison between 2D and 3D results for similar targets and fluxes. The 2D option has advantage of much higher speed of calculations, mainly because the number of cells is typically much smaller and the calculations are simpler than that for 3D, but the 3D option becomes indispensable when the target cannot be approximated by 2D geometry, or when the essentially 3D tasks are considered, such as roughness, for example. The FPS-3D code can comfortably treat up to one million cells, or maybe, a few times of that, depending on the speed of a computer. Correspondingly, the 2D option of FPS-3D allows consideration of a wide range of target sizes, from nanometers to micrometers, as well as treating them in great detail, such for example, as 1000x1000 cells. For the 3D option, a typical run is limited to about 100x100x100 cells, thus leading to each cell containing significantly more molecules than for 2D. One of the main advantages of FPS-3D relative to all other similar software is its GUI-graphics interface based on the TPSOFT package from HFS [1]. This interface is capable of producing high-speed and high-quality 2D and 3D graphics not only for initial parameters, distributions, geometry and fluxes, but also dynamically while the code is running and the feature profile and other parameters evolve, with a unique characteristic of not slowing down the calculations. The authors are thankful to S.-Y. Kang of TEL TDC for valuable discussions.
[1] www.highfactor.com [about:www.highfactor.com] .
PS-TuP-13 Warm Magnetized Vlasov Emission Equilibria
Robert Terry (Naval Research Laboratory (retired))
A Vlasov equilibrium is developed for steady state emission into a magnetized gap in coaxial geometry. The cathode boundary conditions are those of a perfect conductor that emits a Maxwellian electron flux radially, azimuthally, and axially. The anode boundary conditions are those of a perfectly absorbing conductor. The cathode carries a fixed current and the radial gap is set to a fixed voltage. The angular momentum of emitted electrons around the cathode is found to materially change the orbit turning points. When energy conserving solutions are examined it is found that axial velocities must remain bounded above by a well defined function of radius, magnetic field, and voltage. A fully nonlinear and self consistent Vlasov-Poisson problem is formulated and solved for the space charge distribution implied by the Vlasov equilibrium. Moments of the Vlasov distribution then determine the shunt impedance of the gap and the criteria for "warm" magnetic insulation of the coaxial line. The theory limits to Ottinger's critical current magnetization picture for cold electrons, but shows a properly non-singular behavior in the electron density profile at the radial turning points and so properly reduces the enhacement of ion flux across the gap. Extensions of the model to include electron impact ionization of neutrals in the gap are also developed.
PS-TuP-14 Inductive Plasmas in Cl2/Ar : Comparison of Hybrid Model Results with Experimental Measurements
Jean-Paul Booth, Emilie Despiau-Pujo, Rodolphe Sarot, Pascal Chabert (CNRS-LPP, France); Lina Gatilova, Sophie Bouchoule (CNRS-LPN, France)

Inductively-coupled chlorine-based plasmas (often also containing HBr, O2 and Ar) are widely used in the microelectronics industry for selective, anisotropic etching of silicon, and are currently being investigated for etching of III-V materials such as InP for the fabrication of photonic devices.

We have constructed a reactor, identical in geometry to an industrial 200mm etch tool, but adapted for advanced diagnostics and supplied with Cl2/HBr/O2 and Ar gases. It is excited by a flat spiral antenna though a dielectric window. In parallel we are developing a hybrid simulation code based on the HPEM (Hybrid Equipment Plasma Model) of Mark Kushner. Experimental measurements of internal plasma parameters (electron densities, temperatures, and also gas dissociation fraction and temperature) will be used to test and improve the simulation code. The aim is to reliably predict the plasma behaviour as a function of these parameters, and for arbitrary reactor geometries.

The electron density was measured using a microwave hairpin resonator, and with a Langmuir probe. Measurements were made as a function of pressure and power in pure Cl2 and as a function of composition in Cl2/Ar mixtures. The electron density decreased from 5x1010cm-3 to 2x1010cm-3 as the Cl2 pressure was increased from 0.5 to 10 mTorr. The electron density also decreases monotonically as the Cl2 fraction is increased in Ar/Cl2 mixtures. The Langmuir probe measurements of ne showed the same trend as the hairpin probe, but gave lower values, particularly at the highest pressures and lowest powers and for high Cl2 fractions.

PS-TuP-15 Computer Simulation of a Controllable Electron Beam Exciter
David Urrabazo, Matthew J. Goeckner, Stephan Thamban (University of Texas at Dallas); Gabriel Padron-Wells (University of Texas ar Dallas)
We have developed a new chemical diagnostic based on a controlled electron beam. Specifically we make use of an inductively coupled plasma, electron extraction optics and traditional optical emission spectroscopy. In the work reported here, we make use of a computer simulation using the Comsol to investigate system characteristic and enhance the system performance. The axially-symmetric spatial distributions of the particle densities and electron temperature are calculated for varying pressure and power regimes. These simulation results will be compared to electrical probe measurements gathered from the experimental apparatus. This work is supported in part by NSF (Grant CBET- 0922962) and Verity Instruments.
PS-TuP-16 Numerical Simulations of a Magnetron Plasma Sputtering System using VORPAL
Christine M. Roark, Chuandong Zhou, Peter H. Stoltz (Tech-X Corporation)
Three-dimensional numerical simulations are conducted for a magnetron sputtering plasma and target using the particle-in-cell code VORPAL. These simulations require accurate models of particle dynamics, Monte Carlo collisions and self-consistent electric and magnetic fields. The sputtering yield is calculated for materials commonly used in industrial applications. Sputter patterns are compared with experimental measurements, and in particular, we discuss non-uniformities in the sputter patterns and compare with the cross-corner effect. We also discuss the role of charge exchange and elastic scattering on the sputter patterns.
PS-TuP-18 An Experimental Demonstration of Real-time Closed-loop Control of a Capacitively Coupled Argon Oxygen Plasma
Yang Zhang, Bernard Keville, Anthony Holohan, Miles Turner, Stephen Daniels (Dublin City University, Ireland)
Plasma processing of materials for advanced manufacturing is a key enabler for synthesis of nanoelectronic systems. In mainstream IC manufacturing, plasma processing is routinely used in etch and deposition steps. However, ensuring process repeatability and reproducibility is a major challenge for the IC manufacturing industry. Processing tools are, in general, run in open loop control mode and plasma parameters such as ion flux and radical densities at the substrate surface are sensitive to drift in tool subsystems, changes in wall condition and wafer loading, for example. Disturbances to key plasma parameters may affect process metrics such as etch depth and anisotropy and result in a significant degradation in device yield and performance. Hence, process reproducibility may be improved significantly by effective closed loop control of the plasma process.
 
In this work we present an experimental demonstration of closed loop control of a capacitively coupled Ar/O2 plasma using an optical emission spectrometer and a hairpin resonance probe as sensors. Design of the control algorithm is facilitated by a process model, which has been derived from a physics-based model and validated by taking step responses experimentally. The efficacy of the algorithm is demonstrated by setpoint tracking and disturbance rejection over a range of operating points.
PS-TuP-19 Real Time Closed Loop Control of Plasma Processing
Bernard Keville, Miles Turner, Stephen Daniels, Yang Zhang, Anthony Holohan (Dublin City University, Ireland)

In general, real time, closed loop control of plasma assisted processes has not been applied in IC manufacturing. In the case of etching, 'process control' is generally understood to mean ex situ statistical analysis of metrics such as etch depth, uniformity, anisotropy and selectivity and consequent adjustment of the process recipe, which is specified in terms of inputs such as gas flow rates, forward power and pressure. An alternative approach would be to specify a recipe in terms of plasma parameters such as ion fluxes and radical densities at the wafer surface and to regulate these in real time by adjusting the inputs with a suitable control algorithm. Such an approach would mitigate potential plasma process disturbances such as wall seasoning and substrate loading, leading to an improvement in process reproducibility. This presentation describes how suitable control algorithms for low pressure plasma processes may be derived from control-oriented process models. The stability and efficacy of the control algorithms are demonstrated using an plasma simulation. Some parameters of the control algorithm depend on unknown, possibly time-varying process parameters such as wall sticking coefficients. The presentation indicates how, given a process model and process measurements, the control algorithm may be adapted/gain-scheduled in order to maintain stability. Experimental implementation of control algorithms on a capacitively coupled plasma is presented and the results are compared to those of the simulation.

PS-TuP-20 Adhesion Improvement of DLC Films on Polymer Substrates
Sang-Min Baek, Tatsuru Shirafuji, Sung-Pyo Cho, Nagahiro Saito, Osamu Takai (Nagoya University, Japan)

Recently, diamond-like carbon (DLC) films have been performed on polymer substrates for improving scratch resistance and gas barrier properties. However, the DLC films deposited directly on polymers often encountered the problem of poor adhesion, which can reduce the performance of the DLC films. Low adhesion of the DLC films is recognized as a consequence of a residual stress due to high atomic density in comparison to polymers. Plasma pre-treatment is one of the most effective methods to modify the top surface of polymers involving surface cleaning, ablation and surface chemical functionalization. Since the bonding states of the interface are formed at the initial stage of the film growth, the adhesion strength of the films is controlled by the condition of plasma pre-treated surface. However, there have been few reports that directly dealt with the relation between the interface properties and adhesion of the DLC films.

In this study, the DLC films have been prepared on polyethylene terephthalate (PET), polycarbonate (PC) and (PMMA) substrates using a pulse biased ICP-CVD method. Plasma pre-treatments using Ar, O2, CO2, N2 and CH4 gases were performed on polymer substrates prior to DLC (non-doped, Si-doped, and oxygen-doped) coatings. The plasma pre-treated surfaces have been investigated by XPS and FT-IR ATR. The adhesion of the DLC films on polymer substrates has been characterized with a scratch test method. The scratched areas have been observed with optical microscope and SEM. Regarding the adhesion on the PET, at this moment, the doping oxygen in the films and the plasma pre-treatment have shown no effects on the adhesion of the DLC films. On the PC, on the other hand, the oxygen incorporation in the Si-doped DLC films has resulted in the enhanced adhesion of films. Furthermore, formation of the interfacial layer with N2-plasma pre-treatment has markedly increased the adhesive strength of the DLC films on the PMMA.

Since the nitrogen atoms or NH bonds are considered to be a key factor to improve the interfacial adhesion properties, we have examined formation of the Self-Assembled Monolayer (SAM) which has NH groups at the top of the SAM. The SAM is composed of a bundle of relatively long molecular chains. Thus, we can expect the SAM layer to have mechanical flexibility. This will brings about further improvement of the adhesion properties of the DLC films, such as prevention of film-peeling due to thermal history, which is now under investigation.

PS-TuP-22 2m Long-Linear Plasma Production by Microwave in a Narrowed Rectangular Waveguide with a Long Slot Antenna
Haruo Shindo, Yasuhito Kimura (Tokai University, Japan)

Long line-shaped plasmas are inevitable in material processing in manufacturing industries, such as solar cell film CVD, flat panel displays (FPDs), and various surface modification of large-area thin films. In this work, a newly proposed method of large-scaled line plasma production is studied. In this method, microwave power of frequency of 2.45 GHz in a narrowed and flattened rectangular waveguide is employed to produce a long uniform line plasma. Since the width of waveguide is very close to the cutoff condition, the wavelength of microwave inside the guide is very much lengthened, providing a condition of long line high density plasma with a great uniformity.

The narrowed rectangular wave-guide of 1.0 and 2.0 m in length and 5mm in height were prepared and the width of the waveguide is 62.0 to 61.5 mm which is very closed to the cut-off condition. The waveguide has a long slot on the top surface to launch the micro-wave into the discharge plasma chamber of 1.0 and 2.0 m in length. At the end of wave guide, a short plunger was quipped to adjust the phase of the standing microwave, hence the uniformity of the plasma thus produced. The plasmas of Ar at the pressures of 100 to 500 mTorr were produced by employing an extremely long microwave wavelength. The plasma thus produced was three-dimensionally measured by a Langmuir probe.

The axial profile of electron density in the plasma thus produced was quite flat and as the microwave power is increased, the uniformity becomes improved. In particular, the uniformity within 4 % was attained in the entire plasma of 2 m in length in the condition of microwave power above 1000 W. This kind of uniform linear plasma production showed a threshold in the microwave power, above which the electron density becomes high enough above the cut-off density of microwave of 2.45 GHz. It was also found that the profile of electron density was adjustable by the short plunger. To be specific, the electron density measured at a fixed Z position showed a standing wave-like profile, indicating the short plunger has functions of standing wave generation as well as the phase-shifter as expected. Thus we conclude that the present method of large-scaled linear plasma production is quite advantageous for large area processing.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule