AVS2006 Session TF-TuP: Thin Film Poster Session

Tuesday, November 14, 2006 6:00 PM in Room 3rd Floor Lobby

Tuesday Evening

Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2006 Schedule

TF-TuP-1 Effect of Particle Transport Process on Mode Transition and Film Composition during Reactive Sputtering of Metal Oxides
T. Nakano, Y. Iimura, S. Baba (Seikei University, Japan)
Target mode transition and film composition during the DC reactive sputter deposition process has been studied for various metal oxides, especially focused on the effect of the particle transport process of sputtered atoms. Si, Ti and Nb targets were sputtered in a gas mixture of argon and oxygen. These targets were chosen to elucidate the effect of the atomic mass on the reactive sputtering through the particle transport process of sputtered atoms. At a fixed argon gas flow rate of 20 sccm, evacuation valve was throttled to achieve a gas pressure between 0.5~2 Pa. At this fixed pumping speed, oxygen flow rate was modified and the transition between the metal and oxide modes was monitored by the cathode voltage. Deposition rate was measured in-situ by a quartz crystal monitor, and the composition of deposited films was measured by X-ray photoelectron spectroscopy. In case of Si sputtering, the mode transition occurred at distinctly lower oxygen flow rate as the pumping speed was decreased and argon gas pressure increased. In addition, at each pumping condition, the oxygen content of deposited film was saturated at lower flow rate than that of the mode transition, which means that the film was totally oxidized while the target was in the metal mode. These results cannot be explained by the Berg's model1 in which the metal to oxide mode transition accompanies the oxidization saturation of deposited metal atoms on the chamber wall, and the reduction of the oxygen gettering. On the contrary, these effects were much more subtle in heavy Nb case. It can be ascribed to the transport behavior of Si sputtered atoms which are lighter than argon atoms and are easily backscattered by them. At higher gas pressures, Si sputtered atoms tend to redeposit on (or around) the target surface, which results in the reduction of both the total gettering speed and its uniformity.


1 S. Berg and T. Nyberg: Thin Solid Films vol. 476 (2005) 215.

TF-TuP-2 Hydrogen Uptake in MgO Thin Films Grown by Reactive Magnetron Sputtering
J.S. Agustsson (Mentis Cura ehf, Iceland); B.V. Agustsson (Royal Institute of Technology, Sweden); A.K. Eriksson (University of Iceland); K.B. Gylfason (Lyfjathroun Biopharmaceuticals, Iceland); S. Olafsson (University of Iceland); K. Johnsen (Mentis Cura ehf, Iceland); J.T. Gudmundsson (University of Iceland)
We explore the hydrogen uptake in MgO thin films, grown by reactive magnetron sputtering. When introducing hydrogen during the growth of MgO thin films, the electrical properties dielectric are affected. A lattice matched heteroepitaxial metal--insulator--metal (MIM) structure was grown. CrMo alloy was used as the metal electrode and the composition chosen so that the film is lattice matched to the substrate when the <100> direction of the alloy is parallel to the <011> direction of the substrate. The hydrogen uptake was determined by nuclear resonance analysis measurements using 1H(15N,αγ)12C. The electrical properties of the MgO films were assessed by impedance spectroscopy. We relate hopping conduction observed in the MgO to the introduction of hydrogen into the sputtering chamber during the growth of the dielectric films.
TF-TuP-3 Structure and Electronic Properties of Molybdenum Oxide Thin Films Fabricated by DC Magnetron Sputtering
V.V. Atuchin, B.M. Ayupov, T.A. Gavrilova, T.I. Grigorieva, V.A. Kochubey (Institute of Semiconductor Physics); C.V. Ramana, U. Becker (University of Michigan)
Molybdenum oxide (MoO3) exhibits interesting structural, chemical, electrical, and optical properties, which are dependent on the growth conditions and the deposition technique. In the present work, MoO3 films were produced by DC magnetron sputtering using a Mo target under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the structure and electronic properties of Mo oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), energy dispersive X-ray spectrometry (EDS) and laser ellipsometry (λ = 0.6328 μm) measurements. The analyses indicate that the microstructure and phase of sputtered Mo oxide films is sensitive to Ts and pO2. The growth conditions were optimized to produce stoichiometric and polycrystalline MoO3 films. A uniform refractive index profile with n = 1.59 and optical absorption k ~ 0.001 was found for a typical textured MoO3 film. The results will be presented and discussed in detail to establish a correlation between the microstructure and optical properties of Mo oxide films.
TF-TuP-4 Transparent Conducting AZO Thin Films Prepared by Magnetron Sputtering with DC and RF Power Applied in Combination
T. Minami, Y. Ohtani, T. Miyata, T. Kuboi (Kanazawa Institute of Technology, Japan)
In this paper, we describe the preparation of transparent conducting Al-doped ZnO (AZO) thin films using new deposition techniques that added an rf component to dc magnetron sputtering (dc+rf-MSP) with or without the introduction of hydrogen gas into the deposition chamber. AZO films were deposited using a planar magnetron sputtering apparatus with a sintered AZO target. The dc+rf-MSP depositions were carried out in a pure Ar or an Ar+H2 (0-3%) gas atmosphere at a pressure of 0.4 Pa by adding an rf component (13.56 MHz) to a constant dc power of 80 W. The deposition rate in dc+rf-MSP incorporating an rf power of 100 W was approximately 57 nm/min, an increase from approximately 35 nm/min in dc magnetron sputtering (dc-MSP) with a dc power of 80 W; the sputter voltages in these depositions were approximately 170 V and 365 V, respectively. In addition to improving the AZO thin film deposition rate and lowering the sputter voltage, it was found that dc+rf-MSP depositions with or without the introduction of hydrogen gas also resulted in improving the electrical property distribution on the substrate surface and reducing the damage on the deposited films and substrates caused by the bombardment of particles during the sputtering deposition. A low resistivity on the order of 10-4Ωcm and an improved resistivity distribution could be obtained in AZO thin films deposited on substrates at low temperatures below 200°C by dc+rf-MSP with the introduction of hydrogen gas; the necessary amount of added hydrogen gas increased as the substrate temperature was decreased.
TF-TuP-5 Effect of Target Density and Sputtering Parameters on Film Structure and Resistivity of Tungsten
C.F. Lo (Praxair Surface Technologies - MRC)
Low resistivity is desired for the tungsten film used for the diffusion barrier, via and gate material in semiconductor devices. To achieve low resistivity, purity and film structure are the two factors needed to be controlled. It is known that higher the purity, better the performance of the tungsten film. On the other hand, it is not clear how the film structure that may effect the resistivity. In this study, we controlled the target density and ran a design of experiment for the sputtering power and gas pressure to obtain different film structures. Using the four-point probe, X-ray diffractometer and scanning electron microscope, the relationship between the film structure and resistivity was established. Key words: Tungsten, diffusion barrier, via, gate material, semiconductor, target density, Sputtering, film structure, resistivity.
TF-TuP-6 Combinatorial Synthesis and Characterization of Magnetic FexAl1-xN Thin Films for Biomedical Applications
Y. Guan, P.D. Rack (The University of Tennessee, Knoxville); X. Wang, Y. Liu (Alfred University); K.D. Sorge (Florida Atlantic University)
The electromagnetic behavior of nano-scale layered and particulate structures of FexAl1-xN materials have potential applications in medical device technologies. Iron and aluminum have been co-sputtered in an Ar-N2 ambient to form a combinatorial array of FexAl1-xN thin films. Using this approach, we are able to achieve an Fe/(Fe+Al) cation range from ~ 12-60 % over 10 cm long substrate. The deposited films were annealed in vacuum at various temperatures and the films have been characterized by x-ray photoelectron spectroscopy, energy dispersive x-ray spectroscopy, scanning electron microscopy and x-ray diffraction. Furthermore, the ac and dc magnetic properties of the films have been characterized. In this presentation, we will describe in detail the reactive combinatorial FexAl1-xN sputtering approach. The chemical, structural, and morphological properties as a function of Fe/(Fe+Al) content will be demonstrated and correlated to the measured dc and ac magnetic properties. *This work was sponsored by Biophan Technologies.
TF-TuP-7 Investigation of Luminescence and Microstructure of Sputter-deposited Zinc Gallate Thin Films Doped with Manganese
J.H. Kim (Chungbuk National University, Korea); P.H. Holloway (University of Florida)
Luminescent characteristics and microstructural properties of manganese-doped zinc gallate (ZnGa2O4:Mn) thin films have been studied. The ZnGa2O4:Mn films were prepared by radio frequency (RF) planar magnetron sputtering from a 2 mol% Mn-doped ZnGa2O4 target in an oxygen-argon mixture atmosphere. The half-stack alternating-current thin-film electroluminescent (ACTFEL) devices were constructed using an inverted single-insulating layer structure, ITO/ZnGa2O4:Mn/BaTiO3/Al. First, approximately 600 nm of ZnGa2O4:Mn was deposited on the 200µm-thick BaTiO3 ceramic substrates. The samples were then annealed at 800°C in air for 3 hours, followed by ITO sputter deposition and Al metal evaporation. The fabricated devices were tested using a bipolar trapezoidal drive waveform with rise and fall times of 5 µs and a hold time of 30 µs. The drive frequency was 2.5 kHz (5k light pulses per second). Green emission peaked at 508 nm was obtained from the device and it was attributed to the 4T1 - 6A1 transition in Mn2+ ion. The color coordinates of the emission were x=0.11 and y=0.7 in the CIE chromaticity diagram. The threshold voltage for the emission was ~100 V and the brightness was 28 cd/m2 measured at 30 volts above the threshold voltage. The photoluminescent and cathodoluminescent characteristics of the ZnGa2O4:Mn films deposited on Si substrates were also investigated. The emission peaks of both PL and CL were at ~505 nm, and the emission spectra were identical to the EL spectra. Both PL and CL brightness was strongly correlated with the microstructural differences in ZnGa2O4:Mn films produced by difference in gas pressure during sputter deposition.
TF-TuP-8 Compositional Characterization of High-k Dielectric Material via XPS and TOF-ERDA
D. Martin, J. Enlund, O. Kappertz, J. Jensen (Uppsala University, Sweden)
New materials with a high dielectric constant (high k) are needed for future integrated capacitor structures. Aluminium oxy nitride (AlON) is potentially one such material. 150 nm thick AlON thin films are grown via reactive dc magnetron sputtering in an oxygen and nitrogen ambient. The film composition depends on the ratio between the two gas flows, although this relation is highly nonlinear. This complex processing behaviour requires careful analysis of the stoichiometry of the deposited films. Furthermore, the functionality of the films also depends both on the stoichiometry and the impurity levels, making chemical analysis even more important. The film composition was analyzed with both X-ray photoelectron spectroscopy (XPS) in combination with sputter depth profiling and time-of-flight elastic recoil detection analysis (TOF-ERDA), revealing a variation in film composition through the whole film thickness. These findings in the film composition are closely matched between the analysis methods. The methodology of the analysis methods and both their individual and complementary benefits are discussed. Finally, the above observation of the varying stoichiometry indicates that the films are deposited under non-steady state conditions, that is the deposition process does not reach steady state for such film thicknesses.
TF-TuP-9 Transparent Conducting Amorphous ZnO-In2O3 Films Deposited on PC or PET Substrate
T. Moriga, H. Suketa, K. Takita, D. Takada, K. Shimomura, K. Inoue, K. Murai, K. Tominaga (The University of Tokushima, Japan)
Transparent conductors on organic polymer substrates have many applications, such as in plastic liquid crystal display devices, flexible electro-optical devices and so on. However, the deformation temperature of organic polymer substrates such as polycarbonate (PC) and polyethylene terephthalate is usually as low as ca. 100°C, low-temperature depositions should be performed to prevent the deformation of the films. We have reported that the amorphous ZnO-In2O3 films on a glass substrate deposited by simultaneous DC magnetron sputtering with facing dual targets showed the minimum resistivity of 2x10-4Ωcm at substrate temperatures of 150°C or the less. Amorphous ZnO-In2O3 films with low resistivity comparable to ITO films will have an advantage over ITO films in low-temperature depositions. Two disk targets of ZnO and In2O3 were sputtered simultaneously in Ar gas at a pressure of 1mTorr, and electric current ratio δ=IZn/(IZn+IIn) was adopted as a deposition parameter to change film composition. Discharge current of each target was changed from 0 to 80mA in order to change the contents of Zn and In in the film. The amorphous films both on the PC and PET substrates showed the minimum resistivity at δ=0.50, which corresponds to the film composition [Zn]/([Zn]+[In])=0.33. A monotonous increase in resistivity with an increase of zinc content was observed while the films maintained amorphous.
TF-TuP-10 Thermophysical Properties and Electrical Properties of Amorphous In2O3-ZnO Films
T. Ashida, A. Miyamura, Y. Sato, Y. Shigesato (Aoyama Gakuin University, Japan); T. Yagi, N. Taketoshi, T. Baba (National Institute of Advanced Industrial Science and Technology, Japan)
Thermal diffusivity of Indium Zinc Oxide (IZO) thin films with thickness of 200nm has been measured using newly developed nanosecond thermoreflectance system.1 IZO films sandwiched by molybdenum (Mo) films were prepared on fused silica substrate by dc magnetron sputtering using IZO and Mo multi-targets. The IZO layers were deposited under different oxygen or hydrogen flow rate of 0-10% or 0-20%, respectively. Such Mo/IZO/Mo layered structure was fabricated without exposure to the atmosphere between each depositon. The Mo films with thickness of 70 nm are necessary because the wavelengths of pulse laser used in this study are 782 nm and 1064 nm, at which wavelength IZO films are transparent. The resistivity, carrier density and hall mobility of IZO films ranged from 4.2x10-4 to 22.7Ωcm, from 2.6x1016 to 4.2x1020 cm-3, from 10 to 51 cm2/Vs, respectively. The interface between the Mo films and the fused silica substrate was irradiated by nanosecond laser pulse. Heat generated by the pump laser pulse diffuses toward the top Mo surface across the three-layered films. Then the temperature changes at the Mo film surface, which was probed by reflectance of another nanosecond laser pulse. The heat diffusion time changed significantly with changing the gas flow rate. The thermal diffusivity of the IZO films deposited at the unheated substrate, under total gas pressure of 0.7 Pa was 1.2x10-6 m2/s. This thermal diffusivity was approximately, equivalent that of amorphous ITO films.2 Based on Wiedemann-Franz law, approximately 50% of the thermal conduction was considered to be carried by free electrons.


1T. Baba, N. Taketoshi, K. Hatori, K. Shinozato, T. Yagi, Y. Sato, Y. Shigesato, Proc. 25th Jpn. Symp. Thermophys. Prop., (2004, Nagano) pp.240-242.
2T.Yagi, K. Tamano, Y. Sato, N. Taketoshi, T. BAba and Y. Shigesato: J. Vac. Sci. Technol. A, 23(4), (2005), pp. 1180-1186.

TF-TuP-11 Transparent Conductive Oxide Films of In2O3-ZnO with Additional Ga2O3 Impurities
K. Tominaga, D. Takada, H. Suketa, K. Takita, K. Shimomura, K. Inoue, K. Murai, T. Moriga (The University of Tokushima, Japan)
In2O3-ZnO films have amorphous phase. These films are expected as a transparent conductive oxide (TCO) film in optoelectronics. We deposited In2O3-ZnO films with additional Ga2O3 impurities and investigated the influence of Ga2O3 on TCO film properties. Using a facing target sputtering system of ZnO:Ga and In2O3 targets, two targets were sputtered simultaneously in Ar gas at 1 mTorr, and electric current ratio δ=IZn/(IZn+IIn) was adopted as a deposition parameter to change film composition. Discharge current of each target was changed from 0 to 80 mA in order to change the contents of Zn and In in the film. Compositional ratios of Zn/(Zn+In) in films were nearly equal to δ value according to the data estimated by X-ray fluorescence analysis. Four ZnO:Ga targets containing 0,4.5,6 and 7.5 wt% Ga2O3 were used for Ga adding in the films. In2O3-ZnO films in amorphous phase were deposited between Zn/Zn+In=0.27-0.57. The lowest resistivity in amorphous In2O3-ZnO was 2.7x10-4 Ωcm. The resistivity for the amorphous film was low between δ=0.27 and 0.5, but the resistivity for homologous film increased rapidly between δ=0.5. and 0.8. For amorphous films at δ=0.33 and 0.5, optical bandgap energy for the film of δ=0.33 shifted to higher energy side for that of δ=0.5. Similarly, with increasing the Ga2O3 content, optical bandgap energy shifted to a higher energy side.
TF-TuP-12 High Resistive ZnO Thin Films Sputtered from ZnO2-doped ZnO Target
K. Wasa (Kyoto University, Japan); Y.J. Shan (Utsunomiya University, Japan); I. Kanno, T. Suzuki (Kyoto University, Japan)
Thin films of ZnO were fabricated on a fused silica substrate by a direct sputtering from ZnO powder target. The sputtering was done typically at the sputtering argon gas pressure: 0.5Pa, the deposition temperature: 500°C, the sputtering rf power: 90W for 4 inch target, and the deposition time: 90 min. The film thickness was 1.8µm with the deposition rate of 1.2µm/hr. The sputtered films showed c-axis highly oriented ZnO thin films. The dark resistivity of the ZnO thin films was 5x103 ohm-cm and/or conductivity was 0.2x10-3 /ohm-cm at room temperature. The effect of doping of ZnO2 was investigated using mixed powder target of ZnO and ZnO2(15mol %). It was found the doping of ZnO2  reduced the deposition rate. Typical deposition rate was 0.9µm/hr. The electrical resistivity increased by three orders in a magnitude. High resistivity of 1.6x106ohm-cm was obtained by the direct sputtering from the ZnO2-doped ZnO target in a pure argon atmosphere. The sputtering mode will be changed by the doping of ZnO2 since the deposition rates remarkably decreased by the doping. The high energetic oxygen atoms sputtered from the ZnO2 will play important roll for the oxidization of the sputtered ZnO resulting in the increase of resistivity of sputtered ZnO thin films.
TF-TuP-13 Abnormal Resistivity Change in Indium-Tin Oxide Films
S. Takayama (Hosei University, Japan)
Indium tin oxide (ITO) films are well known as a transparent conducting film and used for various display devices. Their conducting characteristics are contributed by free electrons arising from four valent doping Sn substituting in the In lattice and doubly charged oxygen vacancies. The structure of ITO films can be changed from amorphous to crystal phase depending on the process conditions. In this report, to get further insight into the conducting properties of ITO films, the in-situ resistivity measurement of various structures of ITO films was performed on annealing in a various flowing gas atmosphere (air, O2, H2). It was found that an abnormal increase of restivity showing a sharp peak was observed at 220-250degree C for the fully and partially amorphous ITO. The temperatures at the peak were shifted to a higher range in the order of air, H2, and Osub 2flowing gas atmospheres. The Hall measurement revealed that the carrier density and Hall mobility both sharply decrease at the resistivity peak. The activation energy of the abnormal resistivity change was measured to be 0.67-1.7 eV by using the Kissinger's method. The activation energy thus measured are different depending on the annealing atmosphere. The X-ray diffraction profiles and TEM observations revealed that the crystallization took place at ~180degree C and continuously progressed with further increase of annealing temperature. Note that any further visible structure changes were not observed after the peak resistivity. Taking into account the fact that the activation energy of an oxygen vacancy in an In oxide is reported to be about 5eV which is much higher than the present measured values, and also an In in amorphous ITO films is reported to play a large role of the amorphous to crystalline phase transition at ~150degree C, the realignment of Sn-O bond to generate a locally ordered structure most likely responsible for the present abnormal resistivity change observed.
TF-TuP-14 Multi-layered Depleted Uranium and Gold Coatings on Cylindrical Substrates
H. Wilkens (General Atomics); J.L. Klingmann (Lawrence Livermore National Laboratory); A. Nikroo, D.R. Wall, J.R. Wall (General Atomics)
The indirect drive approach to inertial confinement fusion (ICF) requires that a hydrogen-filled target capsule is surrounded by a cylindrical cavity called a hohlraum which converts the incident laser energy to x-rays that drive the implosion of the target.1 The efficiency of the x-ray conversion increases with the addition of depleted uranium (DU) to a Au-only hohlraum by making the wall more opaque to the driver energy.2 To manufacture these hohlraums, multiple alternating layers of 30 nm DU and 8 nm Au are sputter deposited onto rotating cylindrical substrates, for a total thickness of 2-7 µm.3 These cylindrical substrates, 5.1 mm in diameter, are micro-machined to less than 25 nm rms roughness. A structural gold layer is electroplated after sputter deposition, then the material is back-machined away at the edges to expose the underlying mandrel, which is leached away leaving a free-standing hohlraum. DU oxidizes rapidly in air (0.5 nm/min),4 so measures must be taken to ensure that it is encapsulated. Oxidation is problematic for two reasons. First, the presence of oxygen in the hohlraum increases the heat capacity, thus canceling the efficiency gains made with the addition of the DU. Second, the DU lattice increases by approximately a factor two upon oxidation, resulting in catastrophic structural failure. Novel production techniques will be presented, along with Auger electron spectroscopy data confirming the absence of oxygen within the multi-layered film.


1
1 Rosen, M., Phys. Plasmas, 6, 1690 (1999).
2 Orzechowski, T., et al., Phys. Rev. Lett. 77, 3545 (1996).
3 Wilkens, H., et al., Progress in Coating Multi-layered Cocktail Hohlraums. To be published in Fus. Sci. Technol.
4 Allred, D., et al., Highly Reflective Uranium Mirrors for Astrophysics Applications, X-ray Mirrors, Crystals and Multilayers, Proc. SPIE 4782, 212, 2002.

TF-TuP-15 Nano-Composite Amorphous Carbon (a-C) Thin Films to Improve the Tribological Properties
Y.S. Park, H.J. Cho, B. Hong (Sungkyunkwan University, Korea)
In this work, we studied the change in the tribological properties of amorphous carbon deposited over Si substrate with Ti and a-C:Ti as the adhesive layer. The nano-composite amorphous carbon thin films were prepared by closed-field unbalanced magnetron sputtering method using the graphite and the titanium as targets. We tried various structure for the interlayer under hydrogenated amorphous carbon (a-C:H) film to improve the tribological properties and have observed characteristic changes in the nano-composite structure. In addition, Ti layer (a-C:H/Ti/Si and a-C:H/a-C:Ti/Si) improved the adhesion between the a-C:H film and the substrate and the incorporation of the Ti (a-C:Ti and a-C:H:Ti) in a-C and a-C:H films improved the lubricant properties. We selected the laminated structure in order to minimize the demerits observed in a-C:H/Ti/Si and a-C:H/a-C:Ti/Si structures, such as low hardness and high stress. With Ti-doped a-C and a-C:H in laminated structure, the tribological properties were observed to be improved with high hardness over 29 GPa, high elastic modulus over 235 GPa and a smooth surface below 0.8 nm.
TF-TuP-16 Preliminary Study of CuInxB1-xSe2 Absorber Materials
N.J. Ianno, T. Santero, R.J. Soukup (University of Nebraska-Lincoln)
One of the most useful thin film solar cell materials is CuInSe2 (CIS). However, the efficiency of solar cells fabricated with CIS is limited because of the narrow band gap of the CIS material. This problem has been reduced somewhat by the substitution of Ga for some of the In. The result is a more efficient solar cell, but still of limited voltage output because changes in the band structure limit the maximum amount of Ga that be substituted. We present preliminary results on the substitution of boron for indium. Theoretically, a much smaller amount of boron is needed to obtain a band gap near the optimum level of near 1.5eV. We have estimated this percentage to be about 24%. The films are deposited on bare soda-lime glass and Mo coated soda-lime glass via planar magnetron co-sputtering and are selenized in a separate step. The deposition is accomplished by sputtering from three separate targets of Cu, In, and B. In this way we have complete control over all the constituent elements. Deposition is made under a variety of conditions by varying the deposition rate and substrate temperature. The resulting films are analyzed by a variety of methods. These methods include characterization by x-ray diffractometry, photon transmission/absorption, Fourier Transform Infrared spectroscopy and spectroscopic ellipsometry and are compared to CIS films deposited in our laboratory and CIGS films reported in the literature. We will show the effect of boron substitution on the lattice parameter and the optical band gap.
TF-TuP-17 Lubricant Characteristics of Ti doped Hydrogenated Amorphous Carbon Films Prepared by Closed-Field Unbalanced Magnetron Sputtering Method
H.J. Cho, Y.S. Park, B. Hong (Sungkyunkwan University, Korea)
Hydrogenated amorphous carbon (a-C:H) have been shown to have the low friction coefficient, high hardness and low abrasive wear rate. The a-C:H film according to experiments have had the maximum hardness of 25 GPa and the minimum friction coefficient of 0.1. The incorporation of Ti in a-C:H film is able to improve the electrical conductivity, friction coefficient and the adhesion to various substrates. In this study, a-C:H:Ti films were deposited on Si and steel substrates by closed-field unbalanced magnetron (CFUBM) sputtering system with two targets of carbon and titanium in Ar/C2H2 plasma. The lubricant characteristics was investigated for a-C:H:Ti deposited with DC bias voltage from 0 V to -300 V. We obtained the hardness up to 20 GPa and friction coefficient lower than 0.1. The atomic percentage of Ti related to the lubricant properties increased up to 11 % at -300 V. Also, the surface roughness and the surface energy of the films tended to reduce with the DC bias voltage. The surface roughness of the film was found to be extremely low (0.2 nm).These results might be due to the increase of the ions bombardment and Ti implantation with DC bias voltage.
TF-TuP-18 Anisotropic Microstructure of PVD Coatings Caused by Anisotropy in Flux Distribution of Arriving Atoms
S.Yu. Grachev, J.-D. Kamminga (Netherlands Institute for Metals Research, the Netherlands); T. Smy (Carleton University, Canada); G.C.A.M. Janssen, R. Machunze (Technical University Delft, the Netherlands)
We determined that flux angular distribution of deposited atoms from an elongated target in a dc-sputtering system is highly anisotropic. We used an aperture in front of a sample to determine the flux distribution experimentally. Monte-Carlo simulations of the deposition process agreed with the experiment quite well. The anisotropy and the width of the flux changed with Ar pressure. It is known that the flux distribution can play a decisive role in film's microstructure formation when surface diffusion is suppressed. This condition is satisfied when deposition of a high melting point metal is carried out at room temperature. We studied the microstructure of Cr films as a function of flux angular distribution. In all cases crystallographic texture was biaxial. Texture splitting was observed in different directions depending on Ar pressure. Two types of "domains" with crystallographic orientations tilted with respect to each other develop in thick films (>1µm). We demonstrate that the flux angular distribution is responsible for the texture splitting.
TF-TuP-19 Comparative Study on Super-Hardcoating Materials between TixWyN and TixAlySizN Deposited by Reactive Co-Sputtering
M. Yamaguchi, A. Miyamura (Aoyama Gakuin University, Japan); K. Hattori (National Institute of Advanced Industrial Science and Technology, Japan); T. Aoki, Y. Sato, Y. Shigesato (Aoyama Gakuin University, Japan)
TiNx is one of the most promising materials for hardcoating technology. Nowadays we can make them more specialized properties (i.e.; harder, higher melting point, and chemically more stable) by adding some metal elements like Al, Si, W, Cr, etc. The purpose of this study is to present a comparative analysis on nano-structure and mechanical properties of Ti-W-N and Ti-Al-Si-N films, which were deposited by co-sputtering using Ti, W or Ti, Al, Si targets, respectively. The microstructure, phase and chemical composition of films were analyzed by X-ray diffraction (XRD), Transmission Electron Microscopy (TEM), X-ray photoelectron spectroscopy (XPS) and Electron Prove Micro Analysis (EPMA). Nano-indentation equipped with Nano Intdentation Tester with Berkovich diamond was used to measure the hardness and Young's modulus along the depth of the films. It was clarified that Ti-W-N films consisted of nanocrystalline TiNx and WNx phase, whereas Ti-Al-Si-N films consisted of nanocrystalline TiNx in an amorphous a-SiNx matrix. Ti-W-N films showed hardness of 21-23 GPa depending on the compositions, whereas Ti-Al-Si-N films performed much larger hardness of 32GPa. Deposition rate of the Ti-W-N films decreased with increase in rf substrate bias power. Furthermore, concentration of Ti in the film slightly decreased with increasing rf substrate bias power, which could be attributed to the re-sputtering of Ti atoms by the Ar+ ion bombardment. Average roughness (Ra) of the film surface estimated from AFM images decreased from 5.9nm to 1.3nm with the increase in the rf bias power applied on the substrate holder from 0 to 100W, which could be attributed to the secondary nucleation enhancement by the ion bombardment during the film growth. This work was partially supported by a Grant-in-Aid for the 21st COE Program from the Ministry of Education, Culture, Sports, Science and Technology (MEXT) of the Japanese Government.
TF-TuP-20 Target Surface Compound Layers Formed by Reactive Sputtering of Si Target in Ar+O2 and Ar+N2 Mixed Gases
Y. Abe, T. Takisawa, M. Kawamura, K. Sasaki (Kitami Institute of Technology, Japan)
Reactive sputtering is a useful method to form compound thin films by sputtering a metal target in reactive gas atmosphere. The surface state of the metal target change with sputtering conditions and makes important effects on deposition rate, chemical composition of the deposited films, and plasma state. However, quantitative studies on the surface state of the target were scarce. In this study, Si target was sputtered in Ar+O2 and Ar+N2 mixed gases and SiO2, and Si3N4 thin films were deposited using a RF magnetron sputtering system. The maximum thickness of the oxide and nitride layers formed at the surface of the Si target was measured by ellipsometry. The oxide and nitride layer thicknesses formed at the target surface were found to be 7 nm and 4 nm, respectively. The rate of oxide layer formation at the surface of the Si target in oxygen plasma is thought to be higher than that of nitride layer in nitrogen plasma.
TF-TuP-21 Heat Treatment Effect in Palladium Oxide Composite Films Fabricated by Reactive Ion Beam Sputter-Deposition
T. Ichinohe, S. Masaki (Tokyo National College of Technology, Japan); M. Iwase (Tokai University, Japan); K. Kawasaki (TDY, Co, Ltd., Japan)
In this study, palladium (Pd) oxide composite films containing metal Pd were fabricated by reactive ion beam sputter-deposition, changing the substrate temperature (Tsub) from RT to 400°C. According to X-ray diffraction analyses, the mixture of PdO(002) and Pd(111) was observed in the film formed at Tsub=200°C, on the other hand, only a metal Pd structure was observed in the film formed at Tsub=400°C. The samples formed at Tsub=200°C and at 400°C showed positive type conduction with a resistivity of 4x10-4 Ωcm and negative type with 3x10-5 Ωcm in as-deposition, respectively. The structure of both samples obviously changed to PdO(002), the resistivity also increasing up when the samples were oxidized over 400°C. After oxidation at 600°C, both samples showed p type conduction and relatively higher resistivity (1x10-2 Ωcm). The absorption edge changed from 1.5 to 2.3 eV after oxidation up to 600°C. On the contrary, the structure and the resistivity of Tsub=200°C and 400°C almost did not change when the samples were annealed under nitrogen atmosphere, except at 600°C in Tsub=200°C. The resistivity in the sample of Tsub=200°C slightly decreased after annealing at lower temperature than 600°C and increased to very large value as same as insulator over 600°C. The absorption edge was too high to measure in the insulating sample. This seemed to indicate that the sample changed to palladium nitride at 600°C.
TF-TuP-22 Theoretical and Experimental Study of Thin-Film Precursor Vaporization
J. Peck, M.M. Litwin (Praxair, Inc.)
A variety of thin-film deposition techniques, including chemical vapor deposition (CVD) and atomic layer deposition (ALD), involve the use of liquid or solid precursors. The precursors used in both CVD and ALD processes frequently exhibit a low vapor pressure (e.g., less than 1 Torr at 25 C). The lack of high vapor pressure typically requires the use of a carrier gas to transport the precursor vapors from a delivery system to a deposition reactor. When using a carrier gas, it is a common misconception that precursor vaporization rate is governed solely by vapor pressure. Based on the kinetic theory of gases, precursor vaporization rate can be increased by using a carrier gas with higher diffusivity. For example, the vapors of a liquid or solid will reach 100% saturation (i.e., partial pressure equal to vapor pressure) faster in the presence of helium, compared to argon. This phenomenon can be exploited to increase the productivity of a film forming process by utilizing precursor/carrier gas mixtures with the maximum diffusivity, to reduce the time required to deposit a specified film thickness. To determine the effect of carrier gas diffusivity on precursor vaporization rate, experiments were performed using a flow-cell vaporizer. These experiments confirmed that the vaporization rate of tetradecane increased by greater than 50% using helium, compared to argon. In addition, experiments performed using naphthalene will also be presented. The naphthalene experiments provide useful insight into the vaporization behavior of solid precursors.
TF-TuP-23 Film Improvement of ALD TaN Layers by Application of Additional Energy
D. Schmidt, C. Hossbach, M. Albert (Technische Universitaet Dresden, Germany); S. Menzel (Leibnitz Institute for Solide State and Materials Research Dresden, Germany); J.-W. Bartha (Technische Universitaet Dresden, Germany)
TaN is extensively used as diffusion barrier in copper interconnects and might be considered as deep-trench electrode material. These applications require specific material properties; such as low resistivity, high density, low concentration of impurity, in conjunction with the high uniformity inherent to ALD processes. The self-saturating chemical reactions between the gaseous precursor molecules and the solid surface, favour the Atomic Layer Deposition as an excellent method for depositing highly precise and conformal coatings. Tantalum Nitride thin films have been deposited and studied by using tertiarybutylimidotris(diethylamido)tantalum (TBTDET) as organometallic precursor and ammonia as reducing agent in a conventional ALD. The resulting films show a low density of 8g/cm3 and a high resistivity of more than 1e+05嵽-cm. In comparison we studied methods which supply additional energy for the formation of the film. This includes plasma enhancement of the process (direct as well as remote) or thermal post processing (w/o gas environment). We could show that films deposited with PEALD feature a better conductivity of 1e+03嵽-cm, however several problems are associated with this technique. An alternative plasma enhancement technique, the remote-plasma-assisted ALD (RPALD) is under investigation. By the application of a post process anneal without breaking the vacuum, we could achieve an improvement in film density up to 10,8g/cm3, in resistivity down to 1e+03嵽-cm and a reduction of the oxygen content of 50%. The impact of the specific deposition conditions as well as additional procedures on film properties are characterized with in Situ metrology like Ellipsometry and Quartz Crystal Microbalance and of course remote analytic techniques including Atomic Force Microscopy, X-ray Photon Spectroscopy, X-ray Reflectivity/Diffraction and Transition Electron Microscopy.
TF-TuP-24 Device-Quality SiO2 Dielectric Film Formation using UV-light Excited High Purity Ozone and Organic Silicon Source at 200 °C
N. Kameda, T. Nishiguchi, S. Saitoh, T. Noyori, Y. Morikawa, M. Kekura (Meidensha Corporation, Japan); H. Nonaka, S. Ichimura (National Institute of Advanced Industrial Science and Technology (AIST), Japan)
It has been strongly required recently to deposit high quality silicon dioxide (SiO2) films at lower than 400 °C for the formation of the dielectric film of TFT on low-cost glass or plastic. Thermal CVD using organic silicon source gas and ozone gas O3 with concentrations of some 10 vol.% is one of the candidates for the formation. However, insufficient chemical reaction of ozone with the silicon source gas at lower than 400 °C is known to result in rapid decline of the deposition rate as well as the incorporation of impurities such as carbon or OH in the film, decaying the film properties as a dielectric film. The solution for this is to use excited oxygen atom O(1D) that is known to be chemically more reactive than O3 to organic materials. We applied the process that irradiates an ultra-violet (UV) light (>210nm) in low pressure (~100 Pa) highly concentrated (>90vol.%) O3 (HC-O3) and hexamethyldisilazane (HMDS) mixture gas in order to make use of high chemical reactivity of O(1D) atom that is generated by photo-dissociation of O3 by UV light irradiation. To minimize the thermal decomposition of the HC- O3 to O2 as well as excess reaction of the HC- O3 to HMDS gas before arriving at the process wafer, the temperature of the transfer tube of the gas mixture and a process chamber were fixed at room temperature. The dramatically increased deposition rate as well as temperature independent deposition rate between 200°C and 300 °C, at which almost no growth has been reported for low concentration ozone CVD, has been obtained. In addition, leakage current density through thus deposited film with the deposition rate of 10 nm/min at 200 °C has been lower than 10-8 A/cm2 when 2 MV/cm electric field is applied. The detailed results about the deposited film quality as well as the mechanism of the deposition process will be discussed.
TF-TuP-25 Preparation and Photocatalytic Activity of CdS/TiOXNY Heterojunctions
K. Prabakar, T. Takahashi, K. Takahashi, T. Nezuka (University of Toyama, Japan); T. Nakashima (Kashiwa Chuo High School, Japan); Y. Kubota (University of Yokohama City, Japan); A. Fujishima (Kanagawa Academy of Science and Technology, Japan)
Currently TiO2 is the most popular semiconductor used in photocatalysis. However, TiO2 absorbs only a small fraction of solar light because of its wide band gap energy of 3.2 eV. To extend the light absorbing property of TiO2 and to enhance its photocatalytic efficiency is to dope TiO2 with nitrogen and also couple with narrow band gap semiconductors. In this coupled heterojunctions, an improvement of charge separation, increase in life time of the charge carriers and enhancement of the interfacial charge transfer efficiency could be achieved. CdS thin films were prepared by chemical bath deposition. Cadmium sulphate, thiourea and ammonium nitrate were used as precursor to deposit the CdS thin films. On the other hand, nitrogen doped TiO2 (TiOXNY) films are activated by visible light as well as ultraviolet radiation have been prepared by DC magnetron sputtering in an argon, oxygen and nitrogen atmosphere using titanium metal target. The band gap energy of CdS thin films was tailored between 2.1 to 2.5 eV. The substitutional nitrogen doping to TiO2 was found to be effective in decreasing the band gap of the films. The band gap energy of the as-deposited TiOXNY thin films was found to be 2.8 eV. X-ray diffraction, scanning electron microscopy, atomic force microscopy and UV-VIS spectrometers were used to analyze the structural, surface morphology and optical properties of the prepared films respectively. The gaseous photocatalytic activity of CdS/TiOXNY heterojunctions was studied on 2-propanol and methanol using a FTIR spectrophotometer. The photocatalytic efficiency greatly depends on the surface nature of the CdS films. The effect of preparation conditions, annealing temperature on the photocatalytic activity of CdS/TiOXNY heterojunctions was studied and the results are discussed.
TF-TuP-26 Ferroelectric Properties of Highly Oriented BLT Films using HfO2 as Buffer Layers for Ferroelectric-Gate Field-Effect Transistors
K.T. Kim, G.H. Kim (Chung-Ang University, Korea); C.I. Lee (Ansan College of Technology, Korea); C.I. Kim (Chung-Ang University, Korea)
The bismuth layer-structured ferroelectrics (BLSFs) are attractive lead-free material for ferroelectric random access memory (FeRAM) application because of its relative fatigue free character.Metal-ferroelectric-semiconductor field-effect-transistors (MFSFETs) have advantages of high switching speed, nonvolatility, and high density. However, the MFSFETs have been problem such as interdiffusion between the film and Si. To suppress them, a metal-ferroelectric-insulator-semiconductor (MFIS) structure has been demonstrated. The most important thing in developing a MFIS structure is to find a good insulator that acts as a buffer between the Si substrate and the ferroelectric material, and have relative high dielectric constants, low leakage current, good interface characteristics, and compatibility. The buffer layers of HfO2 were deposited as a buffer layer on Si and BLT thin films were used as a ferroelectric layer. The electrical and structural properties of the MFIS structure were investigated by varying the HfO2 layer thickness. X-ray diffraction was used to determine the phase of the BLT thin films and the quality of the HfO2 buffer layer. AES and TEM show no interdiffusion that suppressed by using the HfO2 film as buffer layer. The width of the memory window in the C-V curves for the MFIS structure decreased with increasing thickness of the HfO2 layer. The experimental results show that the BLT-based MFIS structure is suitable for non-volatile memory FETs with large memory window.
TF-TuP-27 Zirconia in Sputter Deposited Oxide Nanolaminate Films: Interfacial Structures, Size Effects, and Metastable Phases
C.R. Aita, R.S. Sorbello (University of Wisconsin-Milwaukee)
The interfacial structure of ZrO2 with its oxide partner in ZrO2-Al2O3, ZrO2-Y2O3, and ZrO2-TiO2 nanolaminate films is investigated here. The films were grown on unheated substrates by sequential reactive sputter deposition from metal targets in O2-bearing discharges. This set of nanolaminates provides a model of interfaces formed under three different conditions for chemical cation mixing and physical atomic registry (heteroepitaxy or pseudomorphism). Bulk ZrO2 and Al2O3 are insoluble. Neither interfacial cation mixing or atomic registry occurs. Al2O3 serves as ZrO2 growth termination and restart layers in a nanolaminate, leading to tetragonal ZrO2 formation in thin ZrO2 layers via surface energy considerations. Bulk ZrO2 and Y2O3 are mutually soluble and have a common fluorite-type cubic lattice. Both cation mixing and heteroepitaxy leads to needle-like mixed cation nanocrystals. TheZrO2-TiO2 system is more complex. Bulk (Zr,Ti)-oxide phases have an orthorhombic lattice, different from any ambient pressure phase of bulk ZrO2 or TiO2. Although there is a driving force for cation mixing, there is no obvious path to achieve it via formation of a crystalline substitutional solid solution with a lattice structure that is common to the end-members. However, we identify the following path: tetragonal rutile-type (P42/mnm) - orthorhombic columbite-type (Pbcn) - monoclinic baddelyite-type (P21/c) for structure evolution, unusual because it involves the formation of high pressure phases, stabilized here by the Gibbs-Thomson effect. When applied to Zrx,Ti(1-x)O2 with increasing x where 0
Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2006 Schedule