AVS2006 Session PS2-TuM: Plasma Surface Interactions I: Joint AVS-AIChE Session

Tuesday, November 14, 2006 8:00 AM in Room 2011

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
8:00 AM PS2-TuM-1 New Methods for Studying Plasma-Surface Interactions
V.M. Donnelly, J. Guha, P.F. Kurunczi (University of Houston)
We have developed a new approach for studying plasma-surface interactions. A cylindrical substrate in the reactor wall is rotated at up to 200,000 rpm, allowing the surface to be repeatedly exposed to the plasma (about 40% of the time) and then analyzed in differentially pumped chambers in as little as 150µs after plasma exposure. Delayed desorption of products from the surface is detected by a chopped molecular beam mass spectrometer (MS), while adsorbates are observed by Auger electron spectroscopy (AES). Using these methods, we have studied oxygen and chlorine-containing plasma reactions on anodized Al. By varying the substrate rotation frequency, we can determine the overall kinetics of product formation and desorption. We observe desorption of Cl2 in chlorine plasmas, O2 in oxygen plasmas, and a mixture of Cl2, O2, ClO, and ClO2 products in Cl2/O2 plasmas, due to recombination reactions on the surface. Absolute desorption yields are computed from calibrations based on the pressure rise in the differentially pumped MS chamber. We also detect chemisorbed Cl and O by AES in these plasmas, and find little dependence on substrate rotation frequency. From a combination of all these measurements it appears that recombination in pores of the anodized aluminum is responsible for the long decay time of desorbing products, and the relatively high probabilities for recombination.

P.F. Kurunczi Present affiliation: Varian Semiconductor Equipment, Gloucester, MA 01930.

8:40 AM PS2-TuM-3 Studies of Oxygen and Chlorine Atom Recombination Reactions on Anodized Aluminum in O2/Ar, Cl2 and Cl2/O2 Plasmas by a Spinning Wall Method
J. Guha, V.M. Donnelly (University of Houston)
We have studied reactions of O and Cl atoms on a room temperature anodized aluminum substrate in O2/Ar, Cl2, and Cl2/O2 plasmas by the "spinning wall" technique. In this method, a rotating cylindrical substrate is periodically exposed to the plasma and then analyzed in a differentially pumped chamber by mass spectrometry (MS), and for the first time, by Auger electron spectroscopy (AES). By varying the %O2 in Ar, O atom recombination has been studied over a wide range of O atom flux. In 600 W, 5 mTorr plasmas, the O2 desorption signal decays in a "stretched" exponential manner over a 40 ms period by a factor of 8 for pure O2 and by a factor of ~2 for 2% O2/Ar. When a single desorption product is observed (e.g. O2 in oxygen plasmas), we find that the pressure rise in the MS chamber as a function of increasing rotation frequency is directly proportional to the MS signal. Consequently, absolute fluxes of O2 and Cl2 could be obtained from a calibration of the pumping speed and ion gauge sensitivity. For the above example, the yields extrapolated to t=0 are 0.35 and 6 x 1014cm-2s-1, respectively. In pure chlorine plasmas, the Cl2 desorption signal decays in a similar non-exponential manner, but by a larger factor of ~25 over 40 ms in a 5mTorr, 600W plasma, and with a higher yield of 3 x 1015cm-2s-1 extrapolated to t=0. Unlike O2 or Ar, a substantial desorption of physisorbed Cl2 is observed over 40 ms with the plasma off. Competitive recombination of Cl and O was also studied in Cl2/O2 plasmas. Formation of ClO and ClO2 peak at ~80% O2 and exceed O2 and Cl2 yields. In all experiments, chemisorbed O and Cl are detected by AES, and showed little dependence on substrate rotation frequency. A sub-surface diffusion-recombination model has been developed to explain these observations.
9:00 AM PS2-TuM-4 Plasma-Surface Reaction Mechanisms for Si Etching Profiles in UHF-ECR Cl2/O2, Cl2/O2/HBr Plasmas
M. Mori, N. Itabashi (Hitachi, Ltd., Japan); K. Eriguchi, K. Ono (Kyoto University, Japan)
For fabricating beyond 45 nm-node ULSI devices, the nanometer-scale control of etching profiles is indispensable in Si etching processes, which in turn requires a better understanding of the mechanisms responsible for the feature profile evolution during etching. In this study, we analyze the mechanisms for Si etching by comparing the etched profiles with the profile simulation using an atomic-scale phenomenological model, which includes the effects of passivation layer formation, ion and neutral reflection on sidewalls, and chemical etching in addition to ion-enhanced etching.1 Experiments were performed by using an UHF-ECR plasma reactor in Cl2/O2/HBr mixtures, as a function of O2 flow rate, Cl2/HBr gas flow ratio, RF bias power, pressure, wafer temperature, and so on. The SEM and TEM results showed that the sidewall profiles became more tapered with increasing O2 flow rate. The micro-trenching and footing (or sidewall bowing near the feature bottom) were found to be significant in Cl2/O2 plasmas, being suppressed with increasing O2 flow rate; however, the sharp micro-trenching and footing disappeared in HBr/O2 and Cl2/O2/HBr, and a weak lateral etch was observed on sidewalls in Cl2/O2/HBr plasmas. A comparison with the profile simulation indicated that the tapered profiles were enhanced by oxidization of re-incident etch products/by-products deposited on feature sidewalls. On the other hand, the micro-trenching was found to be attributed to the ion reflection on feature sidewalls; the footing was caused also by re-deposition of etch products on sidewalls from the feature surfaces being etched. Competition between etching reactions of chlorine and bromine and also between etching and passivation would be responsible for nanometer-scale control of the Si etching profiles.


1 Y. Osano, K. Ono, Jpn. J. Appl. Phys. 44, 8650 (2005).

9:20 AM PS2-TuM-5 Surface Reactions in Plasma Etching of Nitrided Hafnium Silicates
R.M. Martin, J. Liu (University of California, Los Angeles); B. Xia, A. Misra (Air Liquide); J.P. Chang (University of California, Los Angeles)
The development of plasma etching chemistries is necessary to pattern new gate dielectric materials, such as hafnium-based oxides, for sub-65nm CMOS devices. Nitrided hafnium silicates (HfSiON) are promising since they combine the high dielectric constant and improved interface state density of hafnium silicates with the beneficial properties of silicon oxynitrides. In this work, chlorine-based chemistries are used in an electron cyclotron resonance high density plasma reactor to etch Hf-rich and Si-rich nitrided hafnium silicates, with 0 to 15 at.% of nitrogen. The plasma density, electron temperature, and gas phase species are characterized by a Langmuir probe, optical emission spectroscopy, and quadrupole mass spectrometry. The etching of SiO2 and HfO2 was first studied in Cl2 and BCl3 plasmas, to allow for studies of the etching of HfSiON with well controlled and varying compositions of Si and N in HfO2. The etch rates of nitrided hafnium silicates were found to increase with the square root of ion energy, and the etching rate of films with 15 at.% of nitrogen is the highest. The surface chlorination was enhanced with increasing ion energy, ranging from 1 to 4 at.% of chlorine on the etched surfaces, demonstrating that the etching reaction is limited by the momentum transfer from the ions to the film surface. The measured etching threshold energies were higher than that of pure HfO2, suggesting that Si and N incorporation modifies film structure/density. In addition, while physical sputtering is the dominant mechanism in removing nitrogen as it was the lightest element of the four composing the film, more nitrogen remains on the surface of the Hf-rich films than the Si-rich films. This suggests that the removal of N is still related to its bonding within the film. The identity and distribution of the etch products will also be presented to elucidate the effect of Si and N on the removal of HfO2.
9:40 AM PS2-TuM-6 Ion-Enhanced Plasma Etching of Hafnium Aluminates in Chlorine Based Plasmas
R.M. Martin (University of California, Los Angeles); H.-O. Blom (Uppsala University, Sweden); J.P. Chang (University of California, Los Angeles)
The development of plasma etching chemistries is necessary to pattern new gate dielectric materials, such as hafnium-based oxides, for sub-65nm CMOS devices. Hafnium aluminates (HfAlO) have arisen as a promising material for gate oxide replacement due to their high dielectric constant, bandgap, and recrystallization temperature. Five compositions of hafnium aluminates were synthesized under this study with the Al2O3 content varying from 0 to 100%. An electron cyclotron resonance high density plasma reactor is used in this work to study the etching of hafnium aluminates in chlorine-based chemistries. The plasma density, electron temperature, and gas phase species are characterized by a Langmuir probe, optical emission spectroscopy, and quadrupole mass spectrometry. The etching of Al2O3 and HfO2 was first studied in Cl2 and BCl3 plasmas, to allow for studies of the etching of co-sputter-deposited hafnium aluminate with well controlled and varying compositions of Al in HfO2. The dominant etch products of Al2O3 and HfO2 in Cl2 and BCl3 plasmas were metal chlorides and metal boron-oxy-chlorides, respectively. The etch rates of hafnium aluminates were found to increase with the square root of ion energy, and the surface chlorination was enhanced with increasing ion energy, demonstrating that the etching reaction is limited by the momentum transfer from the ions to the film surface. In Cl2 plasma, the dominant etch products were HfCl3, HfCl4, AlCl2, and Al2OCl, and the amount of chlorine on the etched surface increased with increasing ion energy, ranging from 0-2 at.%. In BCl3 plasma, the etching rate was controlled largely by the dominant ion, BCl2+, with higher etch rates. The identity and distribution of the etch products in BCl3 will also be presented to assess the effect of boron in patterning hafnium aluminates.
10:40 AM PS2-TuM-9 Ion-Radical Synergy in HfO2 Etching Studied in a Beam Experiment
P.M. Gevers, H.C.W. Beijerinck, M.C.M. Van De Sanden, W.M.M. Kessels (Eindhoven University of Technology, The Netherlands)
The material HfO2 is one of the leading candidates to replace SiO2 as the dielectric material in gate stacks. Etching of HfO2 is currently under research, but mainly under actual plasma conditions. To get a more fundamental understanding of the etching properties, we studied Atomic Layer Deposited HfO2 under well-defined conditions in a multiple-beam experiment. The experiment consists of a thermal XeF2 beam and a low energy (50-1000 eV) Ar+ beam. Real-time ellipsometry and mass spectrometry are used to measure etch rates, layer composition and etch products. The HfO2 etch rate is determined as a function of ion-to-radical flux ratios, ion energy and substrate temperature. Physical etching (sputtering) proves to be the main etching mechanism for HfO2 in the XeF2/Ar+ chemistry. The F-radical does not etch HfO2 spontaneously, as can expected from the high boiling point of HfF4. The Ar+ ion etch rate, however, can be increased by at least a factor of two in an F-chemistry, under specific temperature and ion-energy conditions. The ion-radical synergy varies from ion-dominated at room temperature/high ion energy to more chemically enhanced at elevated temperatures/low ion energy. Furthermore, during ion-etching, oxygen is preferentially sputtered which results in a non-stochiometric top layer. This is confirmed by an ex situ analysis of the atomic composition of the top layer. Based on these results we will present a simple model to describe the etching mechanism of HfO2 and discuss the promises of the F/Ar+ chemistry for HfO2 etching.
11:00 AM PS2-TuM-10 Incorporation of the Kinetic Modeling into the 3-D Monte Carlo Profile Simulation
W. Guo, H. Kawai, H.H. Sawin (Massachusetts Institute of Technology)
The translating mixed-layer kinetic model was translated into the 3-dimensional feature scale simulation. Kinetic parameters were determined by matching simulated etching yields with those experimentally obtained from etching experiments. Bearing in mind the characteristics of 3-D simulation, such as discretized cellular structure and discontinuous composition, we developed an algorithm to translate the kinetic modeling. For example, by separating different reaction mechanisms such as neutral-initiated or ion-initiated, it initiates different sets of reactions once a particle strikes the surface and products are removed according to reaction rates. By averaging the compositions among all neighboring cells around the struck one, it resembles the layer translating down to the substrate. The simulator was able to predict the feature scale evolution as well as the etching yields by integrating the surface kinetics, the ion energy and angular dependence, and cell removal algorithm within the feature. A single stack of cells was used to test the performance of the simulator, with Si in Cl/Ar+, Si in Cl/Cl+, SiO2 in F/Ar+ chemistry at different neutral to ion flux ratios and energy levels. Etching yields and steady state composition were calculated and compared to the kinetic modeling results as well as experimental data. The results showed excellent agreement between experimental data, kinetic simulation and 3-D Monte Carlo simulation.
11:20 AM PS2-TuM-11 3-Dimensional Feature Scale Profile Simulation of Surface Roughness in Physical Sputtering Process
H. Kawai, W. Guo, H.H. Sawin (Massachusetts Institute of Technology)
One of the most important issues in the microfabrication process today is the line edge roughness (LER) on the sidewalls of gate electrodes in metal oxide semiconductor transistors. LER becomes more important as the feature size decreases because the variation in width becomes comparable to the minimum feature dimension. Sidewall roughening is also important in back-end processing, such as contact holes formation. A fundamental understanding of surface roughness and LER formation is necessary to optimize the IC manufacturing process. To promote this understanding, we have developed a 3-dimensional feature scale profile simulator to model and simulate the surface and sidewall roughening during the etching process of polysilicon and silicon dioxide in chlorine, hydrogen bromide and argon plasmas. A dynamic Monte Carlo model is used to simulate the etching process, where the simulation domain is discretized into an array of cubic cells with a unit cell length of 2.5 nm. The local surface conformation is determined by fitting the local region of the surface cells with a polynomial, which is used to compute the surface normal, scattering angle, and flux on the 3-D surface. Our simulator has been utilized to examine the physical sputtering of a smooth polysilicon surface with argon ions at different off-normal ion incidence angles. Our results show that the root-mean square (RMS) roughness increases with the off-normal incident angle. In addition, at a normal ion incidence angle, the RMS roughness remained constant as the material was etched, but at grazing ion incidence angles, RMS roughness increased with the amount of materials etched. At high enough off-normal ion incidence angles, such as 75 degrees, the striations formed are aligned with the ion beam direction due to ion channeling. These results capture many of the qualitative trends observed in experimental measurements.
11:40 AM PS2-TuM-12 Modeling of Contact Hole Etching Profile in Two Geometrically Different Ways
H. Fukumoto, K. Ono, K. Eriguchi (Kyoto University, Japan)
To promote the etching technique for small-diameter contact holes, it is strongly required to understand the plasma-surface interactions more precisely. We have developed the contact hole etching profile simulation based on two different geometric structural models: one is a two-dimensional axisymmetric model, and the other is a two-dimensional planar one. These models took into account the transport of particles in microstructures and surface reactions therein through sputtering, ion-assisted etching, and deposition, where the feature profile evolution was represented by the cell removal method. In the simulation, CF4 plasmas were assumed with different plasma conditions of ion temperature, density, and energy. The behavior of ions from the plasma onto substrate surfaces was characterized by the sheath thereon, the voltage of which was in the range 50-1000 V. The simulation domain was enclosed by the sheath edge and substrate surfaces of SiO2 with an inert etching mask having hole patterns of 20-100 nm diameter. The surface chemistry was taken to depend on the energy, angle, and flux of incident ions and neutrals. The resulting etched profile of the bottom edge was more rounded in the axismmetric model than that in the planar one. In addition, the etch rate of deep or small-diameter features was slower in the axisymmetric model than in the planar one. These results are caused by the geometric difference between the two models; in practice, more fluxes of ions and neutrals are caught on feature sidewalls in the axisymmetric model than in the planar one. The axisymmetric model, which is more realized than the planar one, showed its advantage in the contact hole etching simulation.
12:00 PM PS2-TuM-13 Enhancement of NF3 Etching Rates in PECVD Chamber Cleaning
J.J. An, B. Bai, H.H. Sawin (MIT)
In the optimization of PECVD chamber cleaning, it is important to maximize the cleaning rate and minimize the use of gases that are costly and must be treated. In conventional processes, much of the feed gases are not consumed in cleaning, but are lost by surface recombination and other processes. Using an Aston torroidal remote plasma source, we have shown the etching rate of silicon nitride using NF3 can be significantly enhanced by the addition of other gases in small amounts. The additives are composed of simple compounds providing selected amounts of C, S, and/or O to the mixture, with the performance depending on the choice and amounts of the additives. The nature of the enhancement will also be discussed. This enhancement is approximately a factor of four larger at lower silicon nitride temperatures. This lower temperature cleaning is particularly important since the chamber walls and pump exhaust tubing often require the longest time to clean. While the kinetics of this effect are not yet well understood, we will present mass spectrometer results sample by line-of-sight from the plasma source, FTIR measurements of the pump exhaust, as well as etching rate variation with gas compositions, flow rates, and sample temperature.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule