AVS2005 Session EM-TuP: Electronic Materials and Processing Poster Session

Tuesday, November 1, 2005 4:00 PM in Room Exhibit Hall C&D

Tuesday Afternoon

Time Period TuP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule

EM-TuP-1 Nano-scale Characterization of High-k Dielectric Materials by Conducting Atomic Force Microscopy
S. Kremmer, H. Wurmbauer, C. Teichert (University of Leoben, Austria); G. Tallarida, S. Spiga, C. Wiemer, M. Fanciulli (Laboratorio MDM - INFM, Italy)
The steadily shrinking device dimensions in semiconductor industries demand for advanced electrical characterization methods operating on the nanometer scale. One suitable technique already used for the evaluation of silicon gate oxide quality1,2 is Conducting Atomic-Force Microscopy (C-AFM). C-AFM, operating in ultra high vacuum (UHV), is used to study different high-k dielectric thin films with regard to their electric properties and homogeneity. The UHV conditions are mandatory here to avoid surface modification during the experiment. ZrO2 and HfO2 films of different thickness have been grown by atomic layer chemical vapor deposition on silicon substrates. With increasing film thickness their structure changes from amorphous to polycrystalline with different phases. Therefore, local current-voltage measurements are used to obtain a statistical distribution of the leakage current as a function of applied voltage and film thickness. Further, two dimensional current scans are performed to obtain the local distribution of leakage current. These investigations provide a deeper insight into the influence of crystallites formation on nano-scale electrical properties of two selected dielectric materials.


1 S. Kremmer, C. Teichert, E. Pischler, H. Gold, F. Kuchar, M. Schatzmayr, Surf. and Interf. Anal. 33 (2002), 168.
2 S. Kremmer, H. Wurmbauer, C. Teichert, G. Tallarida, S. Spiga, C. Wiemer, M. Fanciulli, J. Appl. Phys. 97/7 (2005), 74315-1-7.

EM-TuP-2 Production of a Hafnium Silicate Dielectric Layer For Use As a Gate Oxide by Solid-State Reaction
H.T. Johnson-Steigelman, S.S. Parihar, A.V. Brinck, P.F. Lyman (University of Wisconsin-Milwaukee)
The formation of hafnium silicate films (HfSixOy) for use as gate oxides with large dielectric constant by solid state reaction of Hf metal and high quality thermal oxide and native oxide SiO2/Si(001) substrates was investigated using LEED, XPS, and AFM. Thin, fully reacted silicate films could be formed, and were thermally stable in vacuum to temperatures in excess of 800°C. Spectroscopic evidence indicates that the interface between a hafnium silicate layer and the silicon substrate was stable against SiO2 formation. The observed binding energy (BE) shift provides evidence that the hafnium silicate/Si interface will be stable against interfacial SiO2 formation (as predicted by Hubbard and Schlom1). The thermodynamic driving force for interfacial SiO2 formation when most oxides are placed in contact with Si is the large heat of formation of the SiO2 phase. While Si is rather electro-positive, Hf is even more electropositive, and HfO2 has a higher heat of formation than does SiO2. The shift of the Si oxide XPS feature to shallower BE indicates2 that Hf donates charge to the SiO2 complexes in the newly formed silicate compound. This shift, therefore, corroborates that Hf is able to reduce SiO2; conversely, Si will be unable to reduce HfO2, and interfacial SiO2 formation will be thermodynamically unfavorable. The morphology of the surface was determined by AFM to be smooth and featureless on the length scale of hundreds of nanometers. LEED results show the surface to be amorphous and free of pinholes.


1
1 K.J. Hubbard, D.G. Schlom: J. Mater. Res. 11, 2757 (1996).
2 T.L. Barr: Crit. Rev. Anal. Chem. 22, 115 (1991).

EM-TuP-3 Investigation of Annealing Effect and Suppression of Hydration and Silicate Formation of La2O3 Thin Films
D. Eom, S.Y. No, C.S. Hwang, H.J. Kim (Seoul National University, Korea)
High dielectric constant materials (high-K) have attracted a great deal of interest because of the dramatic scaling down of Metal-Oxide-Semiconductor field effect transistor (MOSFET) device reaching its physical limit in terms of reduction of thickness. Among high-k materials, such as Al2O3, HfO2, HfSiO4, ZrO2, La2O3 etc., have attracted a great deal of interest as a replacement for the conventional SiO2 gate oxide. La2O3 is promising as a gate dielectric film in future CMOS devices because it has a large conduction band offset(~2.0eV), high didelectric constant(24~27) and good leakage current characteristic. However La2O3 films appears to be hydrated easily and become silicate films by react with Si substrate. Moreover improvement of thermal stability is needed like other high-k films. In this work AlN thiln films was deposited after La2O3 film deposition on Si substrate to suppress hydration of La2O3 films. From X-ray Photoelectron Spectroscopy(XPS) results the hydration was not shown. AlN thin film was also deposited on Si substrate before La2O3 film deposition as a barrier material to prevent reaction of La2O3 film with Si substrate. Without thermal annealing, AlN barrier film is effective to reduce silicate formation, but after 800°C annealing the AlN film mixed with La2O3 film therefore barrier effect disappeared. And There were flat band shift toward negative voltage and degradation of electrical properties after annealing at 800°C and over. From Auger Electron Spectroscopy and XPS results, it is considered to be because of a generation of oxygen vacancy during annealing. Low temperature annealing at O2 ambient after 800°C annealing recovered flat band voltage and electrical characteristics.
EM-TuP-4 Nucleation Behavior of Ru Thin Films Prepared by MOCVD on TiN Substrate with TiCl4 Pre-Treatment
B.S. Kim, H.S. Seo, C.S. Hwang (Seoul National University, Korea); S.Y. Kang, J.Y. Kim, K.H. Lee, H.J. Lim, C.Y. Yoo, S.T. Kim (Samsung Electronics Co., Ltd., Korea); H.J. Kim (Seoul National University, Korea)
Due to the excellent characteristics, such as low electrical resistivity and good dry etching property, ruthenium (Ru) is considered as a candidate material for capacitor electrodes in gigabit scale dynamic random access memories (DRAMs). In a typical concave type storage node of gigabit scale DRAMs, the Ru bottom electrodes should be deposited on the TiN diffusion barrier. But the metalorganic chemical vapor deposition (MOCVD) of Ru on the TiN surface has been suffered from the poor nucleation behavior and rough surface morphologies, which makes the capacitor fabrication difficult. In this study, the Ru films were deposited by MOCVD using Ruthenium-(2,4-Demethylpentadienyl)(Ethylcyclopentadienyl)[Ru(DER)] on various substrates, such as Ta2O5, TiN, SiO2 and TiO2. The nucleation rate of Ru on the TiN surface was improved by the TiCl4 pre-treatment which was done by atomic layer deposition (ALD). The Ru thin films deposited on Ta2O5 and TiO2 with oxygen addition at 300°C have continuous and smooth surfaces while those on SiO2 and TiN have discontinuous film morphologies. It is suggested that the different surface morphologies of Ru films were mainly attributed to the difference of bonding type of the substrates. The surfaces with highly ionic bonding characteristics, such as Ta2O5 and TiO2, can share the surface electron cloud with the metallic Ru dimer resulting in lowering of nucleation barrier energy. Therefore surface modification of TiN surface that has highly covalent bonding characteristics can improve the nucleation rate of Ru. The pre-treatment with ALD-TiCl4 enhanced the nucleation rate of Ru films mainly due to the partial oxidation of TiCl4 that results in the formation of seed-like TiO2 layer. The conformal deposition of Ru on the contact-hole structure and on the bottom TiN surfaces was successfully obtained via surface modification using TiCl4.
EM-TuP-5 Structural and Electrical Properties of PZT Thin Films Patterned by Chemical Mechanical Polishing Process for FRAM Applications
N.-H. Kim, P.-J. Ko, G.-W. Choi (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); W.-S. Lee (Chosun University, Korea)
Ferroelectric random access memory (FRAM) has been considered as one of future memory devices due to its ideal memory properties such as non-volatility, high charge storage, and faster switching for the readywrite operations. The lead zirconate titanate (PZT) is one of the most attractive perovskite-type materials for realizing the ferroelectric products due to its higher remanant polarization and the ability to withstand higher coercive fields. The sol-gel solution of Pb1.1(Zr0.52Ti0.48)O3 was spin-coated on patterned substrate. The thin films were polished by chemical mechanical polishing (CMP) technique. The vertical sidewall of the PZT pattern was successfully achieved, because the patterning of the PZT thin films was performed by using a damascene process. In this study, the surface morphology and the electrical properties of PZT capacitors were evaluated after CMP process. The selectivities for end-point detection to top electrode materials and TEOS film were also studied. Surface morphology after CMP process was undergone with atomic force microscopy (AFM) of PSIA Company. The electrical properties were measured between the top and bottom electrodes. Capacitance and leakage current of PZT capacitors after CMP are examined. The capacitance was measured by using an HP 4192 impedance/gain-phase analyzer at 10 kHz, and the leakage current density was determined by using an HP 4145B semiconductor parameter analyzer. The crystal structure of the polished samples was investigated by X-ray diffraction (XRD) to observe the variation of phases. The improved PZT capacitor with the high capacitance and the low leakage current could be obtained by analyzing correlation between electrical properties and various CMP process parameters. Acknowledgement: This work was supported by a Korea Research Foundation grant (KRF-2004-005-D00007).
EM-TuP-6 Chemical Mechanical Polishing Characteristics of BTO Thin Films by BaTiO3 Abrasive Slurry for High-Density DRAM Application
P.-J. Ko, N.-H. Kim, J. Park (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); W.-S. Lee (Chosun University, Korea)
BaTiO3 (BTO) high dielectric thin films have received much attention as one of the new dielectric materials for high density dynamic random access memories (DRAMs) because of their high relative dielectric constant and small variation in dielectric properties with frequency. It is well known that BTO films are difficult to be etched by wet etching, but high etch rate with good selectivity to pattern mask was required. Plasma etching provided the high etch rate with good selectivity, however, the problem of sidewall angle still remained to be solved. In this study, we examined the characteristics of submicron capacitors fabricated by chemical mechanical polishing (CMP) process with the vertical sidewall instead of plasma etching. The sputtered BTO thin films on the stopper layer were polished by CMP with commercial alumina (Al2O3) slurry and self-developed BTO (BaTiO3) abrasive slurry. The polishing results of BTO thin films using the harder alumina slurry represented the high removal rate, while the polishing results using the softer BTO slurry shows the relatively low removal rate with insufficient within-wafer non-uniformity (WIWNU%). The polishing mechanism of BTO thin films by two kinds of slurry was investigated by the surface analysis by X-ray photoelectron spectroscopy (XPS) with the surface morphology by atomic force microscopy (AFM). The surface roughness and planarity were also strongly depended on the self-developed BTO slurry. The vertical sidewall of BTO patterns was successfully accomplished by the damascene process of BTO thin film. Acknowledgement: This work was supported by a Korea Research Foundation grant (KRF-2004-005-D00007).
EM-TuP-7 Poly(3-hexylthiophene) Organic Thin Film Transistor on Polyimide using Electroplated Au Electrodes
J.G. Lee, Y.G. Seol, N.-E. Lee (Sungkyunkwan University, South Korea)
Organic thin film transistors (OTFT) on flexible substrate utilizing electroplated Au electrodes have potential advantages in the fabrication of flexible devices requiring large area coverage, structural flexibility, low-temperature processing, and especially low cost. In particular, the application of electroplated electrode with the adhesion layer enables one to obtain reliable devices on the flexible substrate in terms of mechanical flexibility and thermal stability, as proved in the flexible printed circuit board (FPCB) technology. In this work, poly(3-hexylthiophene) (P3HT) OTFT devices with a top-gate structure were fabricated by utilizing the electroplated Au source and drain electrodes on polyimide substrate. First, since the adhesion of electrodes on the flexible substrate is of great importance for the application in flexible devices, the adhesion improvement of electroplated electrode structures was achieved by plasma treatment of polyimide substrate followed by a sequential sputter-deposition of Cr(adhesion) and metal seed layers. Au source/drain electrodes were electroplated into the patterned SU-8 mask by ultra-violet photolithography. After SU-8 ashing and Cr/Cu layer removal, spin-coating of P3HT layers, and gate dielectric formation, and Al electrode deposition were carried out. Here, organic-inorganic hybrid gate dielectric layers as well as organic PVP gate dielectric were employed. The channel length ranged between 5 and 110 µm, and the channel width was 800 µm. Electrical properties of fabricated OTFTs were characterized and the effect of various process conditions and structures on the performances of the fabricated devices will be discussed.
EM-TuP-8 Scanning Tunneling Microscopy Study of Rubrene on Au(100) Substrate
Y.S. Cho, Y.J. Song, H.J. Yang, S.H. Kim, Y. Kuk (CSNS, Seoul National University, Korea)
Rubrene has become a strong candidate for an organic thin film transistor (OTFT) because of its high mobility 8 cm2/Vs,1 and easy processing on various substrates, together with pentacene. It has been pointed out that interface states work as scattering centers and results in poorly reproduced potential barriers for various metal contacts in the transport measurement. In this work, we investigated the geometrical and electronic structures of a single rubrene molecule and up to 10-monolayer rubrene adsorbed on Au(100) surface with scanning tunneling microscopy and spectroscopy. In the geometry of metal-rubrene-metal transport measurement, the molecule is positioned as if it flat on the contact metal. This geometry can be achieved by adsorbing the molecule on a metal substrate. At low coverages, rubrene molecules grow as a single phase from step edges, showing step flow growth. They show well ordered growth pattern at high coverages. We will show the coverage dependence of the HOMO-LUMO gap states and possible implication to the transport measurement.


1Vikram C. Sundar et al., Science, 303, 1644 (2004).

EM-TuP-9 Organic Light Emitting Diodes Using Alkaline-earth Metals as an Electron-injecting Layer and Their Semi-passivation
C.H. Jeong, J.T. Lim, J.H. Lee, J.H. Lim, G.Y. Yeom (Sungkyunkwan University, Korea)
To obtain the high performance characteristics of the top-emitting organic light-emitting diodes (TEOLEDs) based on an electron-injecting layer of alkaline-earth metal with a low work function, devices consisted of glass/ITO/2-TNATA (60 nm)/NPB (15 nm)/Alq3 (45 nm)/alkali metal (x nm)/Al (2 nm)/Ag (10-y nm)/Au (y nm)/Alq3 (53 nm) were fabricated, where, alkaline-earth metals such as Ba, Ca, and Mg were used to reduce the energy barrier from the cathode into the organic layers by electron hopping. The top Alq3 layer was used to passivate the semitransparent conducting protecting layer (STCPL) composed of alkaline-earth metal/Al/Ag/Au and organic layers from the damaging environment. In addition, the Ag layer composed of STCPL was introduced into TEOLED to improve the adhesion between the Al layer and the Au layer. In this study, the electrical characteristics of the fabricated TEOLEDs semi-passivated with the Alq3 were measured using an electrometer and the luminescence characteristics were determined by measuring the photocurrent induced by light emission from the OLEDs using a picoammeter. Other properties such as optical transmittance and resistivity were measured using a UV-spectrometer and a four point probe, respectively.
EM-TuP-10 Highly-efficient Top-emission Organic Light-emitting Diodes Using Alkali Metal as an Electron-injecting Layer and Their Semi-passivation
J.T. Lim, C.H. Jeong, J.H. Lee, J.H. Lim, G.Y. Yeom (Sungkyunkwan University, Korea)
To obtain high-performance top-emission organic light-emitting diodes (TEOLEDs) with a high aperture ratio and high resolution, a device consisted of glass/ITO/2-TNATA (60 nm)/NPB (15 nm)/Alq3 (45 nm)/alkali metal (x nm)/Al (2 nm)/Ag (10-y nm)/Au (y nm)/Alq3 (53 nm) was fabricated. Especially, in this study, alkali metals such as Li and Cs with a low work function was used to reduce the energy barrier from the cathode into the organic layers by electron hopping and the top Alq3 layer was used to passivate the semitransparent conducting protecting layer (STCPL) composed of alkali metal/Al/Ag/Au and organic layers from the hostile environment. The Ag layer composing of STCPL was introduced into TEOLED to improve the adhesion between the Al layer and the Au layer. In this study, the characteristics of STCPL composed of TEOLEDs were investigated using a four-point probe and a UV-spectroscopy to measure the resistivity and the transmittance, respectively. Also, the current-voltage-luminance characteristics and electroluminescent spectra of the TEOLEDs semi-passivated with the Alq3 layer were investigated.
EM-TuP-11 Oxygen Doping of DNA Molecules Using Rapid Thermal Processor at Low Temperature
M.W. Yoon, J.M. Lee, K.-S. Kim, Y.-H. Roh (Sungkyunkwan University, Korea)
Recent studies on the electrical conduction of the deoxyribonucleic acid (DNA) strands reveal that they may act as semiconductor materials, suggesting that they might be used for the nano-electronic devices in the future. Furthermore, semiconducting properties can be controlled by gas (e.g., O2) doping the DNA molecules. For example, it has been reported that O2 doping of poly(dG)-poly(dC) DNA molecules at room temperature results in p-type semiconductor-like DNA molecules. In this work, we investigated the possibility of carrier doping of various types of DNA molecules including poly(dG)-poly(dC), DNA poly(dA)-poly(dT) and lambda DNA molecules at low temperature (e.g., room temperature, 90, 100, 130 °C) using a rapid thermal processor. Doping gases used in this work were N2 and O2. Annealing at low temperature in vacuum (i.e., without gas doping) was also performed to clarify the roles of both gas sources and heat treatment. Results obtained in this work show that both O2 doping and heat treatment have certain roles to change the conduction properties of DNA molecules. Specifically, the conductivity of poly(dG)-poly(dC) molecules increases as annealing temperature raises regardless of the gas types. However, the highest value of conductivity at given annealing temperature was always obtained from the samples annealed at O2 ambient, suggesting that O2 doping is more effective to make p-type semiconductor-like poly(dG)-poly(dC) molecules. On the contrary, O2 doping of poly(dA)-poly(dT) and lambda DNA molecules results in the reduction of conductivity. This phenomenon suggests that poly(dA)-poly(dT) and lambda DNA molecules behave like a n-type semiconductor due to O2 doping.
EM-TuP-12 Field Effect Properties of M-DNA Molecules Observed by Changing Gate Voltages
J.M. Lee, Y.-H. Roh (Sungkyunkwan University, Korea)
Recent studies on the electrical conduction of the deoxyribonucleic acid (DNA) strands reveal that they may act as semiconductor materials, suggesting that they might be used for the nano-electronic devices in the future. Consequently, on-going research efforts have been focused on ways to find the conduction properties of many different types of DNA strands. In addition, several research groups reported that the metallic nano-wires can be formed by utilizing the DNA molecules as templates. One of the examples is the formation of M-DNA (i.e., metallic DNA). M-DNA is a complex form of DNA molecules with the divalent metallic ions (i.e., Zn2+) replacing the imino proton of every base pair. Because of containing metallic ions at DNA helix, it has been reported that the current-voltage characteristic of M-DNA attached on the two-terminal electrode represents the metallic properties, although I-V data failed to show the ohmic property. In this work, we investigated the I-V characteristics of M-DNA molecules attached on the three-terminal electrode. We monitored the current variation measured between source and drain by sweeping the gate voltage. It has been reported that M-DNA can be made using poly(dA)-poly(dT), poly(dG)-poly(dC) or lambda DNA. For the current work, we report the experimental results obtained from M-DNA prepared using lambda DNA. Once M-DNA molecules were trapped on the top electrode, the sample chamber was evacuated to minimize the humidity effects on the measurement of I-V characteristics. We found that the current of M-DNA molecules measured between source and drain (IDS) increases as the gate voltage increases, although the degree of current modulation obtained through M-DNA was less than that of lambda DNA. Since the IDS data obtained in this work were collected in vacuum, we suggest that the IDS modulation caused by the gate voltage is due to the field effect.
EM-TuP-13 Simple Patterning Techniques for Fabrication of Organic Thin Film Transistors
S.J. Jo, W.J. Kim, C.S. Kim, H.K. Baik (Yonsei University, Korea)
Fabrication of any device requires patterning and this patterning for organic devices such as OTFTs presents a challenge because of the deleterious effects a solvent typically used in conventional lithography can cause. Particularly in the context of low cost, large volume manufacturing, there is a need to demonstrate that inexpensive material deposition and patterning processes can be integrated with existing device concepts with adequate performance. As an alternative to the vacuum deposition and photolithographic patterning of the various functional films, the use of high-resolution patterning techniques is of particular interest. We report simple microcontact printing techniques to form organic source/drain microstructure for organic thin film transistors (OTFTs). Fabrication of OTFTs with micron feature sizes demonstrates the approach. The performance of these transistors compares with that of similar devices constructed using conventional methods and Au source/drain.
EM-TuP-14 Encapsulation of Pentacene Thin-Film Transistors with a Transparent Oxide/Organic Smoothing Layer
W.J. Kim, W.H. Koo, S.J. Jo, C.S. Kim, H.K. Baik (Yonsei University, Korea)
The long-term stability of the pentacene thin-film transistors encapsulated with a transparent oxide which was prepared by ion-beam assisted deposition (IBAD) was investigated in terms of the electrical properties associated with material characterizations. A polymer layer had been deposited in vacuum prior to IBAD process not only for a buffer layer, but also for a smoothing layer. Our unique multi-layer encapsulation was found to effectively suppress water and oxygen permeation into the organic active layer so that our pentacene TFT with the encapsulation showed a greatly enhanced long-term stability. It is thus concluded that our encapsulation can be employed for stable operation of organic devices such as organic thin-film transistors (OTFTs) and organic light-emitting diodes (OLEDs) in air ambient.
EM-TuP-15 Photo- and Electro-Luminescence Studies of Highly Alkyl-Substituted Templated Bis(8-Hydroxyquinoline) Derivatives for Organic Light Emitting Diodes
R.D. Torres, P.H. Holloway, J.R. Reynolds (University of Florida); M. Albrecht (RWTH Aachen, Germany)
Aluminum tris(8-hydroxyquinoline) (Alq3) is the most widely used electon transport and emissive material in organic light emitting diodes (OLEDs). Alq3 is thermally and morphologically stable when vacuum deposited. The molecule is shaped to avoid exciplex formation, serves as a good green (λmax = 520 nm) emitter with excellent electron-transport properties, and the bandgap can be modified by attaching electron withdrawing/donating organic groups. In this study we have used solution and thin-film photoluminescence (PL) to characterize the emissive properties of new Alq3 type derivatives: tetra-decyl-substituted ethylene-linked bis(8-hydroxyquinoline) (BHQ) ligands. The effects of different templating cations (K+, NH4+, Rb+, Cs+) on optical emission from these complexes [(ligand)3Al2 and (ligand)3Ga2] were investigated. Solutions were prepared using only the complexes, or using the complexes with various molar concentrations of poly(methyl methacrylate) or polystyrene. The ratio of PMMA or PS was varied relative to the polymer repeat unit, and compared to similarly prepared Alq3 solutions. Thin films were made by spin coating on glass substrates. The samples were excited with a 350 nm excitation, and spectra were recorded from 400 to 650 nm. Electroluminescent devices with structures of glass/ITO/PEDOT-PSS HTL/BHQ complexes ETL/LiF/Al were prepared. The current-voltage-luminescence characteristics of these devices were observed. These complexes show promise as Alq3 derivatives that can be processed by methods other than vacuum evaporation.
EM-TuP-16 A Study of the Optical and Electronic Properties of Poly(Vinylidene Fluoride-Trifluoroethylene) Copolymer Thin Films
Y.X. Li (Shandong University, China); L. Yan, E.A. Irene (University of North Carolina-Chapel Hill)
Thin films of poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] have been deposited by spin casting onto bare Si and SiO2 coated on Si substrates. From trials with a variety of solvents methyl ethyl ketone (MEK) yielded the most uniform smooth films as determined using atomic force microscopy. The films were found to be optically transparent in the 280-830 nm (1.5-4.5eV) optical range. Spectroscopic ellipsometry (SE) was used to obtain the refractive index in the 1.5-4.5eV photon energy range that was found to decrease with thinner films. SE performed at several sensitive angles of incidence has revealed no measurable optical anisotropy. Annealing in vacuum caused about a 3% decrease in thickness and an increase of about 0.02 in the refractive index. Capacitance versus voltage (C-V) and conductance (G(ω)) versus gate voltage (G(ω)-V) measurements were performed on capacitor structures in order to determine K, interface charge and the density of interface states (Dit), respectively. Thus far a value of about 9 was obtained for the static dielectric constant for 50nm films and interface charge and Dit results appear promising for the use of P(VDF-TrFE) as a gate dielectric and thin film piezoelectric for various electronic applications.

This research is supported by NASA URETI and the NSF.

EM-TuP-17 Optical and Interfacial Electronic Properties of Spin Cast Poly(o-methoxyaniline) (POMA)Thin Films
R.P. Shrestha, D.X. Yang, E.A. Irene (University of North Carolina-Chapel Hill)
Optical properties of semiconducting polymer, Poly(o-methoxyaniline)(POMA) thin films prepared by spin casting have been studied and using optical transmission spectroscopy and spectroscopic ellipsometry in the visible-near uv optical range. The dispersion in refractive index and extinction coefficient along with anisotropy, surface roughness and annealing results are reported.1 Small uniaxial anisotropy has been measured and annealing leads to some densification and surface smoothing. Capacitance versus voltage (C-V)and current versus voltage (I-V) measurements were performed on capacitor and thin film transistor (TFT) structures, respectively. The C-V results indicate to yield a value of about 5 for the static dielectric constant. The I-V yields TFT transfer characteristics are used to optimize the film formation process and the performance of thin film POMA as a P-type semiconductor for TFT's.


1R.P. Shrestha, D. Yang, and E.A. Irene, Ellipsometric determination of the Optical Properties of Poly(o-methoxyaniline), Thin Solid Films, submitted Feb 2005.

EM-TuP-20 Contact Properties in Metal/Molecule/GaAs Devices
P.D. Carpenter, S. Lodha, Q. Hang, D.B. Janes (Purdue University)
Molecular devices have typically been fabricated in a metal/molecule/metal configuration.1 However, the use of semiconductor contacts in molecular devices allows for control in device performance by varying the doping density and the surface properties of the semiconductor substrates. Semiconductors, such as GaAs, also allow for stable bonds to molecular layers. In this work, molecular devices have been fabricated in a metal/molecule/GaAs device structure using standard photolithography techniques and solution-based growth of self-assembled monolayers (SAMs).2 After SAMs were formed on the GaAs surface, a top metal contact was formed using an indirect path, low energy evaporation technique. The effect of the doping density (n-type and p-type) and surface properties of the substrates, including surface layers incorporating defect states, have been studied in devices employing both aromatic and alkanethiol SAMs. An electrostatic model was developed to calculate the energy band diagrams of the structures and to explain the current-voltage results. Upon examination of the I-V characteristics of the devices, we see an increase in conductivity from the fabricated metal/semiconductor control devices. It has been shown that the electrical performance of the device can be modulated by changing the coupling of the molecular monolayer with the top contact metal and the GaAs substrate. This coupling can be changed by varying the work-function of the metal contact, or by changing the doping type in the GaAs or by incorporating defect states near the GaAs surface.3 Alternate metallization techniques, including shadow masking approaches to avoid process-related damage to the SAM, have also been developed.


1 M. Reed, et. al., Science, vol. 278, pp. 252-254 (1997).
2 S. Lodha and D. B. Janes, et al., Appl. Phys. Lett., vol. 85, 2809 (2004).
3 S. Lodha, P. D. Carpenter, and D. B. Janes, unpublished.

EM-TuP-22 Effect of Fluorine-Neutral Beam Irradiation on the Ohmic Contact Formation to n-type GaN
H.C. Lee, J.W. Bae, B.J. Park, G.Y. Yeom (Sungkyunkwan University, Korea)
Irradiation of a fuorine-neutral beam to n-type GaN surface is expected to create nitrogen vacancy at the near surface region of the GaN due to the removal of nitrogen by forming NFx(x=1-3) volatile gases, which may act as donor impurities. In the case of n-type GaN contact formation, it is well known that the increase in the donor concentration at the surface region of the GaN is one of important factors to achieve a good ohmic formation. The increase of carrier concentration should lead to a decrease of the effective barrier height between n-GaN and contact material, implicating a decrease of the depletion region width. Consequently, more carriers can tunnel through the reduced barrier, resulting in ohmic contact behavior. To date, few investigators have been using chlorine-based plasmas to generate N-vacancies at the surface region of the GaN. However, these charged and energetic treatments may lead to an electrical damage to the GaN layer, which can be attributed to the deterioration of contact property. On the other hand, a treatment by a neutral beam may give no electrical damage to the GaN layer due to its neutrality. It is noteworthy that the creation of N vacancy without electrical damage causes an increase in the net carrier concentration in the near surface region of n-GaN, resulting in the decrease of the contact resistivity. Therefore, in this study, a fluorine-neutral beam is proposed to remove nitrogen atoms off at the surface of n-type GaN without electrical damage. The subsequent ITO-based contact schemes including ITO, Ti/ITO, and Cr/ITO have been investigated using a current-voltage measurement system, photoluminescence (PL) and X-ray photoelectron spectroscopy (XPS). In this presentation, the advantage of fluorine-neutral beam treatment to modify n-GaN surface, as compared to a reactive ion treatment will also be discussed.
EM-TuP-23 Effect of Nitrogen Contents ( 0%-5.3%) on the Temperature Dependence of Photoluminescence in InGaAsN/GaAs Single Quantum Wells
F.-I. Lai (National Chiao-Tung Univ., Taiwan); S.-Y. Kuo (National Applied Research Labs, Taiwan); J.S. Wang (Opto-Electronics & Systems Labs of the Industrial Technology Research Institute, Taiwan); H.C. Kuo (National Chiao-Tung Univ., Taiwan); J.Y. Chi (Opto-Electronics & Systems Labs of the Industrial Technology Research Institute, Taiwain); S.C. Wang (National Chiao-Tung Univ., Taiwan); H.S. Wang, C.T. Liang, Y.F. Chen (National Taiwan Univ.)
A series of InGaAsN/GaAs single-quantum wells (SQWs) with N contents varied from 0 % to 5.3 % were grown by molecular-beam epitaxy using a solid As and nitro-gen plasma sources. The impact of nitrogen concentration on the optical properties, as determined by the temperature dependence of photoluminescence (PL), of a 6 nm SQW was investigated. In the low-temperature region, a pronounced temperature-dependent S-shaped peak positions was observed in PL spectra while increasing nitrogen concen-tration. Two approaches are used to estimate the localization energy and a strong cor-relation was observed. Quenching behavior reveals that the defect-related nonradiative processes might enhance in the highly nitrogen incorporated samples and thus influence the recombination dynamics. In addition, the evolution of the peak positions of In-GaAsN/GaAs samples was in agreement with the empirical Varshni model in the high-temperature region. A significant reduction in the temperature dependence of the emission peak position compared to the nitrogen-free InGaAs SQW is analyzed as well, and further confirms the prediction of proposed band anticrossing model of the elec-tronic structure of III-N-V alloys.
EM-TuP-25 Preparation of Indium Nitride Thin Films by RF-MOMBE
S.-Y. Kuo, C.-C. Kei (National Applied Research Laboratories, Taiwan); C.K. Chao (National Central University, Taiwan); C.Y. Su, C.N. Hsiao (National Applied Research Laboratories, Taiwan)
Indium nitride (InN) films have been prepared directly on the c-plane sapphire substrate by a self-designed radio-frequency plasma metal organic molecular beam epitaxy (RF-MOMBE) system. We have investigated the influence of growth temperature on the surface morphology and crystal structures by x-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) and energy dispersive spectroscopy (EDS). At growth temperatures higher than 500 °C, the hexagonal wurtzite InN films exhibit randomly oriented crystalline nature. Furthermore, a pronounced two-dimensional growth mode was observed at the growth temperature of 500 °C, and this film shows highly orientation along the c-plane. These results indicate that the control of growth temperature is essential for engineering the growth of InN on Al2O3 (0001), and it might be also applicable for other lattice-mismatched III-V heteroepitaxial systems.
EM-TuP-26 Electron Spin Resonance Investigation of the Crystallization of Silicon Carbide Thin Films
M. Tabbal, E. Hannoun, T. Christidis, S. Isber (American University of Beirut, Lebanon)
Crystalline silicon carbide (SiC) is the material of choice to manufacture electronic devices that can function under extreme conditions such as high temperature, high power, high frequency and high radiation environments. This work consists of an electron spin resonance (ESR) study of paramagnetic defects in crystallized SiC thin films. The films were synthesized on silicon (Si) substrates by ablating a pure SiC target in vacuum using a pulsed KrF excimer laser. Crystallization of the films was performed by deposition at temperatures exceeding 1000 K and by subsequent high temperature annealing. ESR measurements were performed at various temperatures at X-Band and Q-Band frequencies. It is found that deposition at temperatures between 1000 and 1200 K lead to polycrystalline films with an ESR signal having a g-value of 2.0028, that is attributed to carbon defects. Increasing the deposition temperature lead to a decrease in the spin density as well as broadening of the ESR signal, with typical line-widths ranging between 5.5 and 8 G. In addition, the ESR line was found to be temperature dependent with lines narrowing down to 4.8 G for measurements performed at 77 K. Such broadening phenomena could be explained by an enhanced connectivity of the paramagnetic defects that could lead to conducting channels in the layers. Post-deposition annealing of the films, in vacuum, to 1400 K leads to a considerable decrease in spin density as well as to significant narrowing of the ESR signal down to 3.2 G. Furthermore, annealing was found to eliminate the temperature dependent contribution to the ESR line-width. These effects were found to occur along with an enhancement of the crystalline quality of the films, as deduced by XRD. A phenomenological model describing the effect of deposition temperature and subsequent annealing on the paramagnetic defects in polycrystalline SiC films will be presented.
EM-TuP-27 Remote Plasma-Enhanced Chemical Vapor Deposition of Tantalum Diffusion Barrier
E.R. Fisher, P.R. McCurdy (Colorado State University)
In recent years, copper (Cu) has become the interconnection material of choice, replacing aluminum (Al) and Al alloys in integrated circuits (ICâ?Ts). Cu is preferred over Al because of its lower resistivity and higher melting point. The lower resitivity is especially important in reducing the resistance-capacitance delay, which becomes dominant as the gate size continues to decrease. Unfortunately, Cu is susceptible to diffusion into SiO2, and suffers from poor adhesion to low dielectric constant materials. Therefore, it is imperative to have a diffusion barrier that can also act as an adhesion layer to integrate Cu into ICâ?Ts. Currently, tantalum (Ta) and Ta nitrides are widely used for this purpose. Ta metal is especially attractive because of its low resistivity; however, because of the ever increasing aspect ratio of metal lines, tradition methods for depositing Ta metal are deficient. Physical vapor deposition is a line-of-sight method that does not work well on high aspect ratio trenches; alternative deposition methods must, therefore, be developed. One potential technique is plasma-enhanced-chemical-vapor-deposition (PECVD). This technique is especially promising for the deposition of Ta in high aspect ratio trenches because it gives extremely good step coverage and can be done at much lower temperatures than traditional CVD processes. We have successfully deposited high quality Ta films using H2 and TaCl5 in a remote PECVD system. Our Ta films show low levels of impurities and excellent step coverage on patterned Si substrates. Data on deposition rate, conformality, film composition, and electrical properties will be presented.
EM-TuP-29 The Characteristics of ZnO:Al Film Using Reactive Magnetron Sputtering System for Touch Screen Applications
H.S. Jeong, M.G. Kim, Y.W. Seo (ITM Inc., Korea); S.J. Kwon (Kyungwon University, Korea)
The ZnO:Al (AZO) films deposited on glass for touch screen panels were prepared by in-line reactive magnetron sputtering system. The target materials of magnetron sputtering system were ceramic ZnO:Al2O3 and metallic Zn:Al targets. We applied the dc-pulsed power to the targets with the change of duty ratio. To compare the AZO thin film properties with respect to the properties of typical ITO thin film used in touch screen panels, we also prepared ITO films on glass using the in-line reactive magnetron sputtering system and commercial ITO coated glass for touch screen panels. We analyze the electrical and optical characteristics of the AZO and ITO coated glass, and the environmental endurance properties (high-low temperature, temperature/humidity test, etc) of the touch screen panels (4-wire resistive type) made with the AZO and ITO coated glass. The typical range of electrical resistance and optical transmittance of the AZO and ITO coated glass were 400~500Ω/Sqr and about 92%, respectively. The electrical and optical properties of the films were measured with a surface profiler, an UV/Visible spectrophotometer, a 4-point probe, X-ray diffraction spectroscopy, and atomic force microscopy.

This work was supported by the Ministry of Commerce, Industry and Energy of the Republic of Korea through the National Research Laboratory program.

EM-TuP-30 Large Nonlinear Optical Properties of Lead Magnesium Niobate-Lead Titanate Thin Films Grown by Pulsed Laser Deposition
D.-R. Liu, P.-T. Cheng (National Applied Research Laboratories,Taiwan); S.-L. Ou (National Taiwan Normal University, Taiwan); J.-S. Chen (National Applied Research Laboratories, Taiwan); C.-P. Cheng (National Taiwan Normal University, Taiwan)
Thin film optical devices have been especially attractive because of their potential for the integration with electronic and optoelectronic systems. Owing to its ferroelectricity, high dielectric constant, and large electro-optic coefficients, Lead magnesium niobate-lead titanate (PMN-PT) can be used in many applications that include pyroelectric detectors, thin film capacitors, nonvolatile memory, and nonlinear optical devices. Because of good transparency over a wide wavelength range of 500nm-7000nm, PMN-PT best suited for almost all the visible to mid IR optical applications. In this study, highly textured thin films of lanthanum doped lead titanate were grown by pulsed laser deposition (PLD) on MgO substrates. The measurement of glancing-angle x-ray powder diffraction (GAXRD) was used to determine the structure of the PMN-PT films. The thickness and roughness of the films were characterized by grazing-incidence x-ray reflectivity (GIXR), and the complex refractive indices were measured in the range from 1.5 to 4.1 eV by spectroscopic ellipsometry (SE). The average oscillator strength and its associated wavelength were estimated by using a Sellmeier-type dispersion equation. Z-scan measurements were performed to study the third-order optical nonlinearity. It was found that the PMN-PT films grown on MgO substrates exhibited strong nonlinear optical effect. The results show that PMN-PT thin films are promising materials for nonlinear optics.
EM-TuP-32 Electromigration Performance by Via Structure in Cu Dual-damascene Process
H.-K. Lee, M.-H. Choi (Chung-Ang Univ., Korea); N.-H. Kim (Chosun Univ., Korea); S.-Y. Kim (DongbuAnam Semiconductor Inc.); E.-G. Chang (Chung-Ang Univ., Korea)
In order to improve the interconnect performance, Cu has been used as the interconnect material instead of Al. One of the advantages of using Cu instead of Al interconnects for ULSI circuits is high electromigration (EM) and low resistance and it is based alloys to copper interconnect leads to new via structure fabricated by dual-damascene process. Improved EM characteristic leads to not only high reliability but also high speed of ULSI. The effects of a Ta/TaN Cu diffusion barrier existence on the EM reliability and EM performance of Cu dual-damascene interconnects were investigated. In this study, EM experiments are performed on interconnect structures of via bottom in Cu dual-damascene interconnection system. In result of EM test by interconnect structure, a high EM performance for copper dual-damascene structures was observed with the conventional interconnect structure to remain Ta/TaN barrier layer at via bottom. The failure analysis of the EM investigated with the focused ion beam (FIB), scanning electron microscope (SEM), and transmission electron microscope (TEM) equipments. Failures in direct contact via (DCV) structure by using bottomless process were formed at copper lines. However the EM failures were found at the top of via through the Cu-SiN interface. DCV structure of via EM had lower activation energy than conventional interconnect structure. Via resistance was decreased DCV structure by using bottomless process. The existence of barrier layer at via bottom has the relation with the difference of EM failure mode. From the different via failure modes, it can be concluded that the barrier layer at via bottom was enhanced the back stress in conventional structure due to the blocking of Cu flow. Acknowledgement : This work was supported by grant No. R01-2002-000-00375-0 from the Basic Research Program of the Korea Science & Engineering Foundation.
EM-TuP-35 The Growth and Characterization of InN Films Grown by High-Pressure CVD
V.T. Woods, M. Alevli, J. Senawiratne, M. Strassburg, N. Dietz (Georgia State University)
Group III-nitride material systems (e.g. AlN-GaN-InN) have generated considerable interest for use as the basis for advanced opto-electronic device structures. Fabrication of multi-tandem solar cells, high speed optoelectronics and solid state lasers operating in the higher energy wavelengths will be made possible using (Ga1-y-xAlyInx)N heterostructures due to their robustness against radiation and the wide spectral application range. As organometallic chemical vapor deposition (OMCVD) has proved to be the most efficient technique for commercial production of group III-V semiconductors, it would be expedient to gain insight in applying OMCVD to group III-nitride material systems. However, the growth of indium rich (In1-xGax)N thin films utilizing OMCVD has been unsuccessful, primarily due to the large thermal decomposition pressures in indium rich group III-nitride alloys at the optimum growth temperature. As shown in this contribution, high-pressure chemical vapor deposition (HPCVD) overcomes the limitations, enabling the growth of InN and indium rich group III-nitride alloys. This high pressure approach allows InN growth at temperatures of 1100K and above which is a major step forward towards the production of indium rich heterostructures, providing a closer match to the ideal processing temperatures of (Ga1-xInx)N. Real-time optical characterization techniques are applied to study and control the gas phase kinetics and surface chemistry processes during he growth process. The ex-situ analysis of the InN layers indicates that the shift of the absorption edge from 1.85 eV down below 0.6 eV is caused by a series of absorption centers, that appear as the indium to nitrogen stoichiometry varies. This contribution will provide results from the real-time optical characterization of InN and will correlation the process parameter to results obtained by XRD, Raman spectroscopy and optical spectroscopy, in order to asses the film quality.
EM-TuP-36 Characteristics of Lanthanum Oxide Prepared using La(iPrCp)3 and H3O
S.Y. No, D. Eom, C.S. Hwang, H.J. Kim (Seoul National University, Korea)
According to scaling rule, high κ gate dielectric stack with equivalent oxide thickness (EOT) below 1 nm will be required for sub-0.1µm devices. La-based oxides are attractive candidates for this purpose because of high-κ value, good interfacial properties, and large band offset against the Si. In this report, we deposited lanthanum oxide thin films using La(iPrCp)3 (Tris(isopropylcyclopentadienyl)Lanthanum) and H2O. The La precursor and H2O were injected alternately and purged by Ar gas between the injections. The deposited films underwent various rapid thermal annealing (RTA), and the property changes were investigated. The deposited films contained carbon impurity less than 1 at.% and showed smooth surface (root mean square roughness< 0.1 nm, ~5 nm film). The electrical properties of deposited films were measured on Pt/Lanthanum oxide/p-Si(100) structure, and small frequency dispersion and hysteresis (<10 mV) were observed in capacitance vs. voltage measurement. The film structures were observed by high-resolution transmission electron microcopy, and the chemical states of interfacial and upper layer were analyzed by angle-resolved X-ray photoelectron spectroscopy. The film showed amorphous structure even after RTA at 900°C. However, chemical states were altered significantly with a change of valence band offset by the RTA. With optimized RTA condition, capacitance equivalent oxide thickness (CET) below 1.1nm was obtained with fairly reduced positive fixed charge density, and accompanied interfacial reaction lead to a decrease of interface trap density.
EM-TuP-37 Zr-doped HfO2 High-k Dielectric with an Inserted HfNx Interface Layer
J. Yan, J. Lu, S. Chatterjee, H.C. Kim, Y. Kuo (Texas A&M University)
Hafnium oxide (HfO2) is a popular high-k gate dielectric candidate. However, HfO2 is easily crystallized at a low temperature, such as < 600C. The effective dielectric constant of HfO2 is lowered due to the formation of a SiOx interface layer. Previously, there are reports that the k value of a high-k film can be increased by inserting a high-k interface layer between the high-k film and silicon substrate.1 In addition, the crystallization temperature of a high-k film can be increased by adding a certain amount of dopant.2 In this paper, authors will report new results on Zr-doped HfO2 films with or without an inserted HfNx interface layer. The leakage current is improved with the doping process as well as the addition of a HfNx interface layer. Other dielectric properties, such as the effective k value, interface density of states, trapped charges, and frequency dispersion, and the final interface layer material properties, such as the bond structure and thickness, of the new high-k gate stack will also be presented and discussed.


1 J. Lu, J. -Y. Tewg, and Y. Kuo, Engineering the nm-thick Interface Layer Formed Between a High-k Film and Silicon, MRS Symp. Procs. Integration of Advanced Nanoelectronic Devices - Critical Issues and Solutions, 811, 81-86 (2004).
2 J.-Y. Tewg,Y. Kuo, and J. Lu, Suppression of Crystallization of Tantalum Oxide Thin Film by Doping with Zirconium, Electrochemical and Solid-State Letters, 8(1), G27-G29 (2005).

Time Period TuP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule