AVS2005 Session PS+TF-WeM: Plasma Enhanced CVD and ALD

Wednesday, November 2, 2005 8:20 AM in Room 302

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
8:20 AM PS+TF-WeM-1 Developments of Plasma Copolymerization Technique for Deposition of low-k Films
K. Kinoshita, A. Nakano, N. Kunimi, M. Shimoyama, J. Kawahara (Mirai-Aset, Japan); O. Kiso, Y. Seino, Y. Takasu (Mirai-Asrc, Aist, Japan); M. Komatsu (Sumitomo Chem., Japan); K. Nakamura (Chubu University, Japan); T. Kikkawa (Hiroshima Univ., Japan)
We have proposed the strategic concept of scalable low-k materials for ULSIs which can be used over two or three technology nodes.1 The major challenge to realize this concept is controlling the dielectric constant and mechanical strength. A plasma copolymerization technique has been developed for this purpose.2 Basic film properties will be determined by the matrix monomer, and modified by copolymerization with modification monomers. A narrow-gap CCP was employed to generate uniform discharge over the 300 mm wafer. A divinylsiloxane-bis-benzocyclobutene (DVS-BCB) was chosen as a starting matrix monomer. The dielectric constant of the polymerized DVS-BCB film was 2.78. Electron density of this polymerization plasma was about 1.5E10 cm-3 as measured by surface wave probe technique.3 Modification monomers were chosen from the view points of the reactivity, the estimated dielectric constant of the monomers, and the vapor pressure. To increase film modulus, phenyl compounds with unsaturated functional groups were introduced. The copolymerization ratio corresponded to the film modulus.4 To reduce dielectric constant, aliphatic compound was introduced. However, copolymerization with DVS-BCB at 400 °C could not reduce the dielectric constant due to decomposition of aliphatic components. A process of low temperature deposition followed by annealing was examined with 2-dimethylvinylsiloxane-tricyclodecane (2DMVS-TCD). A dielectric constant of 2.48 was obtained by deposition at 300 °C followed by annealing at 400 °C. This work was supported by NEDO.


1 T. Kikkawa, Ext. Abst. ADMETA 2003: Assian session, Tokyo, 1-2, (2003) 4,
2 J. Kawahara, et al., Technical Dig. IEDM 2003, 6-2, (2003) 143,
3 K. Nakamura, et al., Proc. Int. Symp. Dry Process 2004, Tokyo, P-29, (2004) 169,
4 N. Kunimi, et al., Proc. IITC2004, San Francisco, 8.5, (2004) 134.

9:00 AM PS+TF-WeM-3 Amorphous Carbon Thin Films Deposition by Pulsed Substrate Biased PECVD using a CH4-CO2 Gas Mixture
G. Gottardi, N. Laidani, L. Calliari, M. Filippi (ITC-Irst (Centro per la Ricerca Scientifica e Tecnologica), Italy); R.S. Brusa, C. Macchi, S. Mariazzi (Università di Trento, Italy); M. Anderle (ITC-Irst (Centro per la Ricerca Scientifica e Tecnologica), Italy)
Various plasma-assisted deposition techniques and carbon bearing source materials have been investigated and can be used for the synthesis of a-C:H films. In particular, radio frequency (RF) plasma-enhanced chemical vapor deposition (PECVD) systems are the most common type employed, over a broad range of process conditions which are generally recognized to strongly influence the material properties. This research work intends to explore new perspectives in the hard carbon films production via PECVD, through the use of non-traditional gas precursors (CH4-CO2) and a voltage pulsing technology applied externally simultaneously to the film growth. The modulation of the substrate bias, when applied in a pulsed mode, provides in fact with more operative opportunities, broadening the process parameters set with respect to the conventional technology with a continuous bias and turning out to be much more effective in the densification and hardening of the material. A multi-technique approach has been used for a thorough characterization of the deposited films in order to highlight the effects of the gas precursor composition and of the ion bombardment due to the substrate bias on the chemical, structural and mechanical evolution of the material. In particular, the chemical composition and the structure were investigated with X-ray photoelectron spectroscopy (XPS), Fourier-transform infrared spectroscopy (FT-IR) and electron energy loss spectroscopy (EELS). Positron annihilation spectroscopy (PAS) was performed for the detection of nano-scale open volume defects while nano-indentation and stylus profilometry techniques were used to evaluate the film hardness and internal stress.
9:20 AM PS+TF-WeM-4 Multi-hollow Plasma CVD Method for Depositing Cluster-free a-Si:H Films
K. Koga, K. Bando, M. Shiratani, Y. Watanabe (Kyushu University, Japan)
The three major limitations of a-Si:H solar cells are 1) light-induced degradation of cell efficiency, 2) a low deposition rate, and 3) a low cell efficiency. We have developed a multi-hollow plasma CVD method for depositing cluster-free a-Si:H films, since films incorporating less amount of a-Si:H nano-particles (hereafter refereed to as clusters) show better stability.1 For the method, powered and grounded electrode of 70 mm in diameter, which had 24 holes of 5 mm in diameter, were placed at a distance of 2 mm. Discharges were sustained in the holes using a VHF power source. A short gas residence time of ~ ms in the discharge regions suppressed growth of clusters and gas viscous force drives clusters toward the downstream region. Therefore, cluster-free a-Si:H films can be deposited on substrates set in the upstream region. Stability of the films against light soaking was evaluated with their defect density measured by ESR and a fill factor FF of a Schottky cell having a structure of Ni/a-Si:H/n type Si. The initial defect density of a film deposited at 0.12 nm/s is 3.5x1015cm-3 and that after light soaking (7.5 hours under 2.4 SUN) is 3.7x1015cm-3. The cell using a film deposited at 0.2 nm/s has a rather high stabilized FF of 0.50 and a small degradation ratio of 2.0 %. A higher deposition rate up to 0.66 nm/s can be obtained by utilizing a higher discharge power. Thus the multi-hollow plasma CVD method is effective in overcoming the three limitations for a-Si:H solar cells.


1K. Koga, N. Kaguchi, M. Shiratani and Y. Watanabe, J. Vac. Sci. Technol. A 22, (2004) 1536.

9:40 AM PS+TF-WeM-5 Proton/Deuteron Exchange in Functional Plasma Polymer Films (A Neutron and X-ray Reflectometry Study)
B.W. Muir, C. Fong, J. Oldham, P.G. Hartley, K. Mc Lean (CSIRO, Australia); A. Nelson, M. James (Australian Nuclear Science and Technology Organisation)
The plasma polymer (PP) deposition of chemically reactive monomers is frequently used to provide a chemical handle on inert surfaces. The characterization of the surface and internal structure of these thin films is critical in establishing their efficacy in technological applications. X-ray and neutron reflectometry are techniques that have become increasingly important in the characterisation of thin-film surfaces and interfaces; it now being possible to obtain angstrom precision depth profiles of a films composition. In this study, we have investigated the physico-chemical properties of allylamine plasma polymer thin films using X-ray and Neutron reflectometry in air and aqueous environments. Correlation of X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) data with X-ray and neutron reflectometry measurements on the PP film versus air, has allowed the stochiometric composition of the film to be obtained. The mass density was found to be 1.305 g/cm3 and film thickness 27.8 nm which correlated well with AFM measurements. Interestingly, when neutron reflectometry measurements are performed in D2O we observe a significant increase in the scattering length density of the film from 2.033 e-6 Å-2 in air to 3.81 e-6 Å-2 in D2O. By performing contrast experiments in mixtures of D2O/H2O we have found that a significant proportion of the protons within the film exchange with deutrons from solution. The films were found to contain approximately 3.3% water and 30% of the protons in the film are capable of exhanging, indicating significant functionality within the plasma polymer film. The study demonstrates that rich physicochemical information can be obtained on nano-scale thin plasma polymer films in different environments by combining a number of surface analytical techniques.
10:00 AM PS+TF-WeM-6 Anisotropic Deposition of Cu and Ru in Trenches by H-assisted Plasma CVD
M. Shiratani, T. Kaji, K. Koga (Kyushu University, Japan)
Previously we realized anisotropic deposition of Cu, for which Cu is filled preferentially from the bottom of trenches without being deposited on their sidewall, by H-assisted plasma CVD using Cu(HFAC)2 as a source material.1,2 In this study, we have demonstrated anisotropic deposition of Cu from Cu(EDMDD)2 and that of Ru from Ru(ACAC)3. Ion irradiation to surface where deposition takes place, is the key to all of the anisotropic deposition processes, whereas deposition characteristics depend on materials. For Cu(EDMDD)2 and Ru(ACAC)3, the deposition rates on the bottom of trenches decrease with decreasing the trench width, while that for Cu(HFAC)2 increases. These results suggest that anisotropic deposition by H-assisted plasma CVD using metal-complex has a potential to be applied to deposition processes of many kinds of metals, metal-oxide, and metal-carbide. We will compare deposition characteristics for Cu and Ru and discuss the deposition mechanisms.


1 K. Takenaka, et al., Pure. Appl. Chem. 77(2005)391.
2 K. Takenaka, et al., J. Vac. Sci. Technol. A22(4) (2004) 1903.

10:20 AM PS+TF-WeM-7 Metal ALD Challenges in Microelectronics Fabrication
K. Leeser (Novellus Systems Inc.)
As device geometries continue to shrink, limitations are encountered with conventional thin film processing techniques. Some of these applications have begun the migration towards atomic layer deposition (ALD) as a means of addressing these limitations. Initial applications have focused on dielectric deposition for DRAM and gate stack, but the migration from fab R&D to production has been slow. Current trends indicate that ALD applications of metallic compounds and elemental metals will actually enter mainstream production at a faster rate than their dielectric counterparts with substantial evaluation activity already at the 45nm node, especially for backend metallization. However, metal ALD process technology, applications, integration, and hardware design are more difficult than those required for dielectric ALD. This presentation will highlight and discuss these critical challenges with emphasis on non-tungsten applications.
11:00 AM PS+TF-WeM-9 Plasma-assisted Atomic Layer Deposition of TiN Films at Low Substrate Temperatures
W.M.M. Kessels, S.B.S. Heil, E. Langereis (Eindhoven University of Technology, The Netherlands); F. Roozeboom (Philips Research Laboratories, The Netherlands); M.C.M. Van De Sanden (Eindhoven University of Technology, The Netherlands)
Atomic layer deposition (ALD) is the method of choice for the deposition of ultrathin films with a high conformality and with precise thickness control. The extension of the technique with plasma processes (i.e., plasma-assisted ALD) opens up new routes in ALD that are difficult to attain by pure thermal ALD, as for example depositing high-quality films at low substrate temperatures. This is an important issue for metallic films such as TiN. High quality films can be deposited by ALD using the halide precursor TiCl4 and NH3 but this process is only applicable at temperatures of 350-400 °C. Lower deposition temperatures are, however, necessary for compatibility with some high-k oxides, processes involving Cu to avoid CuCl formation, and for improved barrier properties. Therefore we have developed a plasma-assisted ALD process of TiN using TiCl4 dosing alternated with H2-N2 plasma exposure. The plasma is generated with a remote ICP plasma source and has been characterized by electrical probe measurements and optical emission spectroscopy. In situ spectroscopic ellipsometry has been used to monitor the growth rate per cycle (0.6 Å/cycle at 400 °C) and from a parameter study it has been proven that the surface reactions are self-limiting. TiN films have been deposited for substrate temperatures between 100-400 °C and the material properties have been analyzed by several diagnostics. Some key observations are that the deposition rate decreases and the Cl content and electrical resistivity increase with decreasing temperature. Nevertheless, the Cl content and resistivity remain relatively low for an ALD process. Furthermore, some plasma-related aspects for the ALD process will be discussed, such as facilitated initial growth on different substrates, surface modification of the underlying substrate (nitridation by N radicals), and the influence of wall-recombination of radicals in high-aspect ratio structures.
11:20 AM PS+TF-WeM-10 Characteristics of HfN deposited by using Remote Plasma Enhanced Atomic Layer Deposition Method
K.W. Lee, S.J. Han, G.J. Kim, W.H. Jeong, H.T. Jeon (Hanyang University, Korea)
Metal oxide films with high dielectric constants (high-k) have been studied recently to overcome the current disadvantages of SiO2 material. This high-k oxide material also need to apply new gate electrode because of the problems of polysilicon/high-k gate stacks such as poly-Si depletion effect, Fermi level pinning, surface phonon scattering, high threshold voltages and channel mobility degradation in real devices. Current polysilicon as a gate electrode results in poor transistor performance. Due to these problems new metal gate materials are needed to solve these problems because the metal/high-k gate stack is very effective in screening the phonon scattering and improves the channel mobility. And the use of metal gate electrode eliminates poly-Si depletion effect and Fermi level pinning. Among the many candidates the refractory metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) are considered as the solutions to replace current poly-Si gate electrode. HfN exhibits various advantages such as thermal stability, midgap work function(4.65eV), and low lattice mismatch(1.13) with HfO2 gate dielectric and is considered as one of the most suitable candidates as gate electrode. In this work we studied this HfN material with remote plasma enhanced atomic layer deposition(RPEALD) method with tetrakis-ethylmethylamino-hafnium (TEMAH), Hf[N(CH3)C2H5]4 as a Hf precursor and NH3 plasma as a reactant gas. This HfN gate electrode was deposited on the HfO2 gate oxide. After deposition, the physical and chemical characteristics were evaluated, and MOS capacitors were fabricated with the HfN electrode to measure the electrical properties. The interfacial layers of deposited the HfN/HfO2 and the TiN/HfO2 stacks were investigated by high resolution transmission electron microscope (HRTEM).
11:40 AM PS+TF-WeM-11 Plasma-Enhanced Atomic Layer Deposition for Compositionally Controlled Metal Oxide Thin Films
R.M. Martin, K.M. Cross, J.P. Chang (University of California, Los Angeles)
The need to replace SiO2 by a higher dielectric constant material in fabricating smaller and faster metal-oxide-semiconductor (MOS) transistors is well recognized by the National Technology Roadmap for Semiconductors. Atomic layer deposition emerges as a viable chemical processing technique to enable the deposition of ultra-thin and highly conformal thin films, and the use of plasma allows greater flexibility in designing doped or alloyed thin films with controlled compostition. In this work, we discuss the atomic layer deposition of HfO2 and Hf1-xSixOy using an alternating, cyclical sequence of hafnium terta-tert butoxide and tetra ethyl ortho silicate as the chemical precursors and oxygen radicals generated from an oxygen plasma as the oxidant. Optical emission spectroscopy (OES) was used to identify and quantify the gas phase atomic species. The thicknesses of the films scaled linearly with the number of deposition cycles as determined by both ellipsometry and x-ray photoelectron spectroscopy (XPS) measurements. Thin film composition of Hf1-xSixOy can be varied and controlled by the chemical sequences, as verified by XPS compositional analysis. Atomic force microscopy (AFM) was used to determine surface roughness of the deposited films as a function of the deposition chemistry sequence and film thickness. MOS transistors were fabricated with the PEALD deposited films and capacitance-voltage (C-V) and current voltage (I-V) measurements showed that the PEALD HfO2 films had a dielectric constant of 25 and an equivalent oxide thickness of 12.5-15 Å. Device results of Hf1-xSixOy will be discussed as a function of the Si concentration and the resulting interfacial composition.
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule