AVS2005 Session PS-ThM: Plasma-Surface Interactions II

Thursday, November 3, 2005 8:20 AM in Room 304

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
8:20 AM PS-ThM-1 Plasma-Based Techniques to Reduce/Remove Particle Contamination for Pelicleless EUV and Imprint Lithography
D.N. Ruzic, D.A. Alman, B.E. Jurczyk, H. Qiu, M.J. Neumann (University of Illinois at Urbana-Champaign)
Particle contamination on EUV surfaces during mask blank deposition, mask fabrication, patterned mask handling can create significant distortions and loss of reflectivity and must be avoided. Particles on the order of 10nm are problematic during MLM mirror fabrication, since the introduced defects disrupt the local Bragg planes. The most serious problem is the accumulation of particles on surfaces of patterned blanks during EUV light exposure, since >25nm particles will be printed without an out-of-focus pellicle. Particle contaminants are also a problem with direct imprint processes since defects are printed every time. Several plasma-based techniques are under investigation aiming to reduce particle contamination through the use of controlled electrostatic repulsion and expulsion of particles from surfaces. The preliminary experiment simulates the particle contamination of mirror samples by introducing external insulating nano-particles of <200 nm size (PSL). Local charging of the particles is governed by the application of a plasma, electron beam, and voltage bias on the substrate. Coulomb explosions and Malter effects surface damage is minimized or eliminated by precise control of the local environment. Data from several plasma schemes to remove particles will be presented, including the first experiment demonstrating the removal of >85% of particles. Particles are imaged with a high-resolution SEM and numerically counted using contrast information from the image histogram. The capabilities for extending this process to higher levels suitable for manufacturing will be discussed.
8:40 AM PS-ThM-2 Plasma-Surface Reactions at a Spinning Wall
P.F. Kurunczi, J. Guha, V.M. Donnelly (University of Houston)
We report a new method for studying plasma-wall interactions in near-real time. A cylindrical substrate is embedded in the reactor wall. This "spinning wall" is rotated up to 200,000 rpm, allowing the surface to be periodically exposed to the plasma (40% of the time) and then analyzed by a chopped molecular beam mass spectrometer (MS) in as little as 150µs after plasma exposure. Skimmers on the plasma side and analysis side of the spinning wall, and 3 stages of differential pumping allow a pressure of 10-10 Torr in the MS with a 10 mTorr plasma. We have used this method to study oxygen plasma reactions on anodized Al. With the plasma off and substrate at rest, we observe a small signal at m/e = 32, due to O2. When the substrate is rotated (plasma off) this signal increases slightly. When the substrate is at rest and the plasma is turned on, no increase in signal is observed. When the substrate is spun with the plasma on, however, a large increase in signal is observed with increasing rotation frequency. We interpret these observations as follows: O-atoms that impinge on the surface when it is in the plasma recombine over the ~0.7 to 30 ms period probed by changing the rotation frequency. We rule out O3 (cracking in the MS ionizer to form O2) from the absence of its parent ion. Likewise, we rule out shallow implantation of O2+ or O+ as a cause of the O2 signal; the dependence of the signal on rotation frequency did not change when grids were positively biased to reject positive ions. No desorbing O was observed; a signal at m/e=16 was entirely from the cracking of O2. Finally, no ions were observed with the MS ionizer off. Modeling of O2 signal vs. rotation frequency indicates a range of recombination rate constants, suggesting a range of O-binding energies on anodized Al. Supported by ACS-PRF.

P.F. Kurunczi - Present affiliation: Varian Semiconductor Equipment, Gloucester, MA 01930.

9:00 AM PS-ThM-3 Innovative Strategy to Improve the Stability of Plasma Processes
R. Ramos, G. Cunge, B. Pelissier, O. Joubert (CNRS/LTM, France)
Plasma process drifts associated with changes in the reactor wall conditions have become a major issue in silicon etching processes used in integrated circuit fabrication. The solution today to achieve good wafer-to-wafer repeatability is the dry-cleaning of the chamber in fluorine-based plasma between each wafer. However, this procedure leaves AlF residues on the Al2O3 reactor walls. This leads to several issues including flake off of AlFx particles on the wafer and process drifts - due both to the progressive growth of AlF material and to the release of F atoms from the chamber walls during the etching process. For all these reasons, we are introducing here a new strategy to improve the stability of plasma processes. Before the etching process, a thin carbon-rich layer is deposited on the reactor walls by a short plasma step. After silicon gate etching, the SiOCl layer formed on the carbon layer is cleared with an appropriate plasma chemistry and the carbon layer removed by an O2 plasma, thus resetting the reactor walls to their initial state. Using this strategy the process is perfectly reproducible since it always starts under the same carbon-rich chamber walls conditions. At the same time, issues associated with AlF deposits are prevented because chamber walls are protected from aggressive fluorine-based cleaning plasma. X-ray Photoelectron Spectroscopy analysis of the reactor wall surfaces is used to show feasibility and efficiency of this technique in an industrial context. Then performance of state-of-the-art silicon gate etching process in carbon-coated chamber and in traditionally seasoned chamber are compared in terms of feature profile, etch rate and selectivity toward gate oxide. Correlation with time-resolved broad-band UV absorption spectroscopy analysis of plasma gaseous phase suggests promising future of this strategy for metal gate etching.
9:20 AM PS-ThM-4 Interactions of Plasmas with Model Polymers for Advanced Photoresists
S. Engelmann, X. Hua, T. Kwon, R. Phaneuf, G.S. Oehrlein (University of Maryland); Y.C. Bae (Rohm and Haas Electronic Materials); D.B. Graves, E. Paragon (University of California, Berkeley); E.A. Hudson (Lam Research Corp.); P. Lazzeri, E. Iacob, M. Anderle (ITC-Irst, Italy)
Plasma-based pattern transfer of lithographically produced nanoscale patterns in advanced resist materials is often accompanied by resist surface roughening and line edge roughening. The properties of the organic imaging materials or fully formulated resist systems as well as the reactive particle fluxes and microscopic parameters of the plasma processing environment contribute to these changes. In this collaboration, we seek to establish a basic understanding of these phenomena by investigating the interaction of a number of carefully selected model polymers like those used in advanced photoresist materials, along with fully formulated 193nm and 248 nm photoresist systems, with well characterized plasmas. We have systematically studied the evolution of surface roughening and surface composition in these systems using H2, O2, C4F8/Ar and Ar discharges produced in an inductively coupled plasma (ICP) reactor. Etching rate, surface composition and chemical structure was evaluated using Ellipsometry, Atomic Force Microscopy, Fourier-Transform Infrared Spectroscopy, Static Time-of-Flight Secondary Ion Mass Spectrometry and X-Ray Photoelectron Spectroscopy. The exposed compounds showed different chemical evolutions for various plasma exposures. We will discuss these differences with regard to the chemical structure of the different monomers used in the model compounds.
9:40 AM PS-ThM-5 Decomposition Mechanisms of 193 nm Photoresist under Ar+ and Radical Bombardment
E. Pargon, D. Nest, D.B. Graves (University of California at Berkeley); G.S. Oehrlien, S. Engelmann, X. Hua (University of Maryland); Y.C. Bae (Rohm and Haas Electronic Materials, L.L.C.); E.A. Hudson (Lam Research Corporation)
193 nm methacrylate-based photoresists are known for their limited etch resistance and enhanced surface roughening compared to 248 nm photoresist. In this study, we examine the decomposition mechanisms of three 193 nm methacrylate-based photoresist formulations in a vacuum beam experiment. The vacuum beam system allows separate control of incident ionic and neutral radical species, more controlled exposure protocols and direct detection of etch products. The results obtained in the beam experiment are compared to complementary and analogous measurements made in a plasma etch environment. Photoresist samples are exposed to an Ar+ ion beam (100 eV - 1000 eV) and various radical beams. The species leaving the surface are detected in an in-situ threshold ionization quadrupole mass spectrometer. Film thickness and mass change are monitored during and after beam exposure. FTIR is used in transmission mode to detect changes in film structure after beam exposure. The primary volatile species leaving the room temperature photoresist surface during Ar+ ion bombardment are CO and H2O, with significant quantities of CO2 and C2H2 also detected. Volatile species initially leave the surface at a higher rate, and the initial etch rate is significantly higher than the average etch rate. FTIR measurements after beam exposure show that the most significant changes occur in the CH2 and CH3 stretching modes (3000 cm-1), although other changes in the carbonyl region (1700 cm-1) are observed as well. We report the effects of beam exposure on surface roughness as measured by ex-situ AFM. The impact of surface temperature and various radical fluxes (H, N and O) on decomposition rate and product distribution will also be reported.
10:00 AM PS-ThM-6 Investigation of Feature Surface Roughening using Plasma Beams
Y. Yin, H.H. Sawin (MIT)
Plasma etching processes often roughen the feature sidewalls, leading to the formation of anisotropic striations. The primary cause of sidewall roughening is the templating of mask roughness into the underlying film. Specifically, the inherent roughening of photoresist provides the mask for templating effect. To fully understand the sidewall roughness evolution, it is critical to characterize the inherent roughening of photoresist as well as other materials, such as porous low-k film. A polymerization-induced micromasking mechanism was proposed to explain the surface roughening of porous low-k films. Porous structure in the substrate plays a critical role in the film roughening evolution. This effect can be understood using the concept of pore filling with polymeric deposition that etches more slowly under fluorocarbon plasma exposure. Later on, the polymer forms micromasks that induce roughening. Although scaled with the ion bombardment energy, the roughness level of porous low-k film etched in C2F6 plasma is mainly related to the film thickness removed; no similar trend was observed on non-porous OSG films under same etching conditions. Fluorocarbon plasmas with different polymerization capabilities such as 20% C4F8/ 80% Ar, were used to explore the polymerization effects. Additionally, the correlation between polymer deposition and porosity/pore size distribution of low-k films was investigated. The roughening of photoresist blank films can also be explained based upon the mechanism proposed above. It was found that photoresist roughening was influenced by etching chemistries and some other factors. In particular, the inhomogeneity introduced during blank film development process formed some equivalent "pores" at near surface region. These "pores" had significant impact on the roughness evolution during etching in fluorocarbon plasmas.
10:20 AM PS-ThM-7 3-Dimensional Feature Scale Profile Simulation of Sidewall Roughening During Plasma Etching
H. Kawai, B. Bai, H.H. Sawin (Massachusetts Institute of Technology)
Sidewall roughness or Line Edge Roughening (LER) is becoming an ever more significant issue as the line width of integrated circuits features shrink and the size of width variation caused by LER becomes comparable to the minimum feature dimension. Since roughening is inherently a three dimensional phenomenon, we have developed a 3-dimensional feature scale profile simulator to simulate the surface and sidewall roughening during the etching process of polysilicon and silicon dioxide. The simulator uses a dynamic Monte Carlo simulation to model the surface kinetics. The simulation domain is discretized into array of cubic cells with the side of 2.5 nm. The local surface conformation is determined by fitting the local region of the surface cells with a polynomial, which is used to compute the surface normal, scattering angle, and flux on the 3-D surface. Using the angular dependence of physical sputtering with a highest etching yield at 60 degrees off-normal incident angle, the initially smooth polysilicon surface is roughened with facets of approximately 60 degrees after the surface is sputter-etched at normal incident angle. Non-planar surfaces are etched and evolve to the same surface roughness as was found for the initially planar surface. However, using the angular dependence of ion (chlorine) etching yields where yield decreases monotonically with off-normal angle, an initially smooth polysilicon surface tends to stay smooth after it is etched at normal incident angle. The surface with small, shallow angle initial roughness also smoothes when it is etched at glancing incident angle, but the surface with large, steep features forms striations after it is etched due to the scattering of ions. Templating, also known as top LER, the most common mechanism of LER caused by mask edge roughening, has also been modeled. This LER is characterized by the transference of the mask spatial frequencies with reduced amplitude with distance from the mask.
10:40 AM PS-ThM-8 Surface Modification of Photoresists in Electron Beam-Generated Plasma
B. Orf, G.S. Oehrlein (University of Maryland at College Park); D. Leonhardt, S.G. Walton (US Naval Research Laboratory)
An electron beam (e-beam) generated plasma system has been used to study the factors that contribute to plasma-induced surface modifications of UV photoresists. Due to the low electron temperature of e-beam generated plasmas, minimum ion energies are much lower than in RF excited plasma discharges, and can be more accurately controlled in the low energy regime. Thus surface modifications such as roughening, compositional changes, and bond rearrangements (i.e. cross-linking, graphitization) can be studied systemically with respect to the ion energy. In this work we have examined the interaction of Ar and Ar/fluorine plasmas with commercial 193 nm and 248 nm photoresists. Following plasma exposure, the photoresist films were characterized ex situ by X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), contact angle measurements and ellipsometry. Ion energy and exposure time were carefully selected to establish the role of ion bombardment in the surface modification, and determining the threshold energies for photoresist damage versus etching. Additionally, the effect of surface relaxation time was investigated by modulating the e-beam generated plasma, to understand how long-lived radicals and the organic material react during the plasma afterglow. The observed differences in behavior of the 193 nm and 248 nm photoresists will be discussed in terms of compositional and structural differences of the materials.
11:00 AM PS-ThM-9 Nanoscale Plasma Processing of Substrates Using Moving Patterned Shutter
X. Hua, G.S. Oehrlein (University of Maryland); P. Lazzeri, M. Anderle (ITC-irst, Italy)
Future nanoscale device fabrication may require the ability to add or remove controllably layers of several nanometers using plasma processes. For such processes, the total length of the substrate-plasma interaction time decreases to a few seconds and less. For short plasma-substrate interaction times, transient plasma effects, e.g. electrical matching and plasma stabilization, plasma-wall interactions, etc., play a more important role than for longer plasma processes, and reduce our ability to control the plasma induced modification of a substrate. In this presentation, we describe the concept of a moving shutter containing slits in close contact with a substrate to control the interaction time of well-established gas discharges with the substrate for nanoscale layer/nanostructure processing. Both substrate and shutter are located on the RF powered electrode and can be biased relative to the plasma. Once the plasma density, discharge chemistry, and the electrode bias voltage are fully established, the shutter with slits begins to move at a controlled speed across the initially covered substrate and exposes the substrate for the desired interaction time to the plasma. We will show that this technique enables precise nanoscale layer etching or deposition on both blanket and patterned substrates employing gas discharges. Applications of this approach to nanoscale plasma processing of ultra low k materials and surface modifications of advanced photoresist materials are reviewed.
11:20 AM PS-ThM-10 Investigation of the Etching Characteristics of SrTiO3 Films in Halogenated High-Density Plasmas
L. Stafford, O. Langlois (Universite de Montreal, Canada); M. Gaidi (INRS-Energie, Materiaux et Telecommunications, Canada); J. Margot (Universite de Montreal, Canada); M. Chaker (INRS-Energie, Materiaux et Telecommunications, Canada); J. Saussac (Universite de Montreal, Canada)
SrTiO3 (STO) films have recently received much attention because of their potential applications into waveguides for optical integrated systems. Up till now, relevant issues related to the development of an efficient patterning process for STO remain however to be solved. This is vital since the performances of the device critically depend upon the STO etching characteristics, particularly upon the roughness of the etched profiles. In this context, we investigate in this work the etching characteristics of STO films using a low-pressure high-density plasma operated in halogenated gas mixtures. We found that the addition of Cl2 and SF6 to the Ar plasma significantly reduces the etch rate. A correlation between the etch rate data and the plasma characteristics obtained from Langmuir probes and actinometry, indicates that this decrease results in part from the lower positive ion density in Ar/Cl2 and Ar/SF6 plasmas. Using a recently developed surface reaction model for ion-assisted chemical etching,1 we demonstrate that in both Ar/Cl2 and Ar/SF6 plasmas, (i) the etch rate is ion-flux limited (i.e. independent of the reactive neutral density) and that (ii) chlorine and fluorine reactive neutral species act as inhibitors in the etching process of STO. A characterization of the post-etched surface by X-Ray Photoelectron Spectroscopy (XRPS) and Secondary Ion Mass Spectrometry (SIMS) measurements indicates that inhibition results from the formation of a thin SrClx or SrFx layer, which impedes the formation and/or the desorption of TiClx or TiFx volatile products. Finally, based on XRPS and SIMS measurements, we propose a two-layer model for the representation of the surface in the course of etching.


1 L. Stafford, J. Margot, M. Chaker, & S.J. Pearton, "Energy dependence of ion-assisted chemical etch rates in reactive plasmas", submitted to Appl. Phys. Lett. 21/12/2004.

11:40 AM PS-ThM-11 A Numerical Model for Profile Anomalies Caused by Surface Charging during Etching and Overetching of Polysilicon
Y. Osano, K. Ono (Kyoto University, Japan)
The precise control of etched profiles in gate etch processes is one of the most important issues. However, the mechanism of etching processes is not fully understood; in particular, the particle transport and reaction processes in microstructures are considerably difficult to be understood, owing to a number of physical and chemical effects. Among these effects, the surface charging on insulating masks during etching causes the deflection of ion and electron trajectories in microstructures. Moreover, the charging on electrically floating poly-Si on SiO2 films during overetching step causes a significant deflection of ion trajectories in microstructures. It is generally appreciated that the deflection of ion trajectories leads to the nonuniformity of ion fluxes onto the feature surfaces, which in turn results in profile anomalies such as bowing, microtrenching, and notching. In this paper, we present a phenomenological, pseudo atomic scale model to simulate the feature profile evolution of Si etching in Cl2. The model incorporates the surface charging in microstructures, to investigate its influence on the profile evolution. The particle transport of Cl+ ions, Cl neutrals, and electrons in microstructures onto etched substrates is analyzed by the Monte Carlo calculation. The model gives the electrostatic potential distribution, along with the differential charging that occurs on insulating masks, electrically floating Si, and SiO2 films. The flux of ions and electrons onto feature surfaces is self-consistently analyzed by taking into account their deflection due to Coulomb interaction with charged feature surfaces. The feature profile evolution is presented by the cell removal method. The numerical simulation is performed with different incident ion energies and pattern widths. Emphasis is placed on the mechanism for the formation of microtrenching and notching, which are generally considered to be mainly caused by the surface charging.
Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule