AVS2005 Session PS-TuA: Dielectric Etch II

Tuesday, November 1, 2005 2:00 PM in Room 302

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
2:00 PM PS-TuA-1 A New Wafer Level Micro Arcing Mechanism in 90nm CVD Low-K Via Etch on 300mm SOI Substrate
H. Cong, C. Low, R.P. Yelehanka, X. Zhang, C. Perera, W. Liu, J.B. Tan, L.C. Hsia (Chartered Semiconductor MFG Ltd, Singapore)
As semiconductor industry moves to 300mm platform and 90nm technologies and beyond, wafer level micro arcing (WLMA) becomes more frequent in dielectric etch. In this paper, we describe the finding of a new WLMA mechanism and the process regime optimization to prevent it happening. In SICOH via etch, high polymer chemistry is needed for better selectivity to both photo resist and underneath barrier. But on the other hand, a high ion energy plasma is required to achieve a good process widow. The etching tool we used is a 300mm capacitively coupled plasma (CCP) high-gap reactor, which has 60Mhz and 2Mhz RF power source applied on top and bottom electrode individually. During our initial via etch process development, C4F6/CH3F etc.were used as via main etch chemistry for better PR selectivity and striation performance. However, we occasionally encountered WLMA at wafer edge around guard rings. Bare Si wafer was used to check RF parameters during the etch process. Spikes were occasionally observed on lower Vpp and C2 position traces at the beginning of over etch step. We found that there was a powdery polymer deposited on the upper electrode after main etch step and the plasma instability is irrelevant to incoming material. This WLMA phenomenon is different from the experience on MERIE or CCP low-gap reactor. Therefore, we propose a new WLMA mechanism. In SIOCH via etch, main etch step chemistry generates heavy non-uniform polymer deposition on upper electrode. When the process switches to over etch step (normally high DC bias), the polymer out gassing from upper electrode will introduce non-uniformity e-field in the plasma and it triggers WLMA. New main etch chemistry was developed and proved successful for production. We also compared RF parameters during via etch on both SOI and bulk Si substrate, there is no significant difference with new developed recipe. Wafer full map electrical and KLA defect scanned results show free of WLMA.
2:20 PM PS-TuA-2 CD and Etch Front Control with Reduced Plasma Damage in Etch and Ash Processes for Porous low-k Materials
R. McGowan (SEMATECH); B. White (SEMATECH & AMD)
We present requirements and methods for improvement of performance in low-k dielectric integrations using various etch and ash processes in back end of line applications. The correct etch and ash chemistry is the key to minimizing surface modifications. The use of porous ultra low-k materials is required to achieve an ultra low keff stack. These materials present new challenges to etch and ash engineers due to their low mechanical strength, porous nature and propensity to plasma damage. Plasma Etch and strip processes cause modifications to the dielectric that reduce the effectiveness of the low-k material. It will be necessary to pick materials with minimal chemical susceptibility to damage, and to develop new etch, ash and cleans processes that cause less damage. We use two layer metal test vehicles. A 90nm CVD (k=2.5) and a 130nm "late poragen burn-out" low-k (k=2.1). This paper presents a discussion on the stack integrations and the etch/ash approaches for each. Both integrations are VFTL (via first, trench last) with no embedded stop layers. Smooth etch fronts through etch and ash steps are key to the integrity of the metal barrier and to reliability performance. We will show the etch and ash chemistries required for each low-k and methods to control plasma damage to the low-k. Polymer deposition and its removal are controlling factors in damage control in the etch/ash processes. An investigation of effects from ash chemistries and reactors for plasma damage and impact on profiles will be presented. Interactions between processes, and their impact on the resulting profiles, will be given. Hardmask chemistries are particularly damaging & methods to damage reduction in these etches will be shown. Data will be presented on the rates and ash rates for ULK materials and the impact of the same process on plasma damage. It is shown that the ash process can be used to smooth the etch front to provide a smoother etch front.
2:40 PM PS-TuA-3 Influence of Plasma Modulation on low-k Etching in High Density Fluorocarbon Plasmas
V. Raballand, G. Cartry, C. Cardinaud (Nantes University, France)
Low dielectric constant materials (low-k) are used as interlevel dielectrics in integrated circuits. Materials studied are porous and non porous methylsilsesquioxane polymers (SiOCH), as well as amorphous hydrogenated silicon carbide (SiCH), used as hard mask and/or etch stop layer. We study the influence of bias, and source power modulation on low-k etching in high density CHF3 based plasma. In addition, low-k etching mechanisms are investigated by using plasma diagnostics (OES, Langmuir probes) correlated with surface analysis (XPS). We use a 13.56 MHz Inductively Coupled Plasma source mounted above a diffusion chamber where the substrate is biased separately. Etch rates are measured in real time by in-situ multi-wavelength ellipsometry. First, only the bias voltage is pulsed. By decreasing the duty cycle (dc), the threshold between etching and deposition is shifted toward higher bias voltage. Moreover, this threshold common for all materials in continuous mode (around - 40V), is now equal to - 50V for porous SiOCH and - 80V for SiCH when pulsing at 1kHz with dc=0.45. Selectivities exceed 10 on a large bias window (50V), while they only reach 6 in continuous mode. Then, we simultaneously pulse the source power and the bias power. Low-k etch rates and selectivities are measured for various ON-time and OFF-time periods. We observe that when the ON-time period is smaller than the time for the plasma to be in stable regime, selectivities are enhanced. To complete these studies, some pattern transfers are realized and compared in continuous and pulsed modes (pulsed bias, or pulsed bias and plasma). Finally, surface compositions and plasma characteristics are analysed. Etching mechanisms are determined in pulsed and continuous modes.
3:00 PM PS-TuA-4 Control of Surface Reactions during Organic Low-k Dry Etching
S. Uchida, M. Hori (Nagoya University, Japan); K. Oshima, A. Ando, K. Nagahata, T. Tatsumi (Sony Corporation, Japan)
In the fabrication of Cu/low-k interconnect for 45-nm devices and beyond, precise control of plasma processes becomes indispensable. Hybrid dual damascene structures use organic low-k and SiOCH films for trench and via levels. The N-H-based plasma has been employed to fabricate SiLKTM trench patterns with high selectivity both to SiO2 hard mask and to underlying SiOCH. First, to separate the effects of ion energy and radical compositions, the etching yield of SiLKTM was investigated by using a beam experiment apparatus with a radical injection system. An Ar+ ion beam (100รข?"500eV) was used to irradiate sample surfaces (SiLKTM, SiOCH, and SiO2) with supplying N and H radical fluxes. We quantitatively varied both the incident ion energy and the composition of the H/N radical fluxes. H and N radical densities were measured by in-situ vacuum ultraviolet absorption spectroscopy. The threshold ion energy for the SiLKTM etching was about 100 eV under the condition of H / (H + N) = 11%. The etch yield of SiLKTM strongly depended on the composition of the radical fluxes. We found that H radicals promoted reactions of N atoms with organic polymers. Consequently, the etch yield of SiLKTM dramatically increased when a small amount of H radicals (< 20%) were added to the N radicals. This result suggests that the stably controlling of the H radical density in N/H plasma and the incident ion energy in real etching systems is important. The high energy peak of the ion energy distribution function was controlled by adjusting the power and frequency in a CCP system. By decreasing the high energy peak (to decrease the etch yield of the hard mask) while keeping the ion current and etch yield high (to keep a high etch rate for SiLKTM to decrease the total etch time), we have successfully minimized the erosion of the hard mask and the variation of the critical dimension during SiLKTM trench fabrications.
3:20 PM PS-TuA-5 Modeling of Organic Low-k Etching in a Two Frequency Capacitively Coupled Plasma in N2/H2
K. Ishihara, C. Shon, T. Yagisawa, T. Shimada, T. Makabe (Keio University, Japan)
The dimension of ULSI device elements continues to shrink in size and multi-layer interconnect system with Low-k materials is employed to reduce RC signal delay in interconnect. We have two main groups of Low-k materials, i.e., organic and inorganic. Low-k materials have distinct characteristics, such as poor mechanical strength and low heat transfer. Under these circumstances, low tempareture plasma source with low damage is practically needed for Low-k material etching. Capacitively coupled N2/H2 plasma in parallel plate geometry is considered to be the source for organic Low-k material etching. N and H radicals produced in the collision dominated plasma will play important roles on the characteristics of the etching. In our previous work, we have investigated plasma characteristics in N2(50%)/H2 in two frequency capacitively coupled plasma (2f-CCP) reactor by using VicAddress. In the present study, we have developed the radical transport to the wafer in 2f-CCP by considering the production paths in both gas and surface phases, and obtained the velocity distribution of ions, H+, H2+, H3+, and N2+ incident on the wafer surface. The radical density agrees well with the experimental. Feature profile evolution in a patterned organic Low-k material will be demonstrated in the 2f-CCP reactor in N2(50%)/H2.
3:40 PM PS-TuA-6 Vacuum-Ultraviolet Photon Irradiation Effects in Fluorocarbon Plasmas on SiO2 Etching Surface Reactions using In vacuo Electron-Spin-Resonance
K. Ishikawa (Tohoku University, Japan); Y. Yamazaki, S. Yamasaki (AIST, Japan); S. Noda, Y. Ishikawa, S. Samuakwa (Tohoku University, Japan)
Using in vacuo electron-spin-resonance (ESR) technique, where the real defect state can be detected without oxidation effect in air,1 surface reactions of fluorocarbon plasma etch of SiO2 films were studied. To understand the reaction mechanism on the surface, creation of dangling bonds (DBs) on the surface are indeed a key process. In this study, we investigated the irradiation effects of vacuum ultraviolet (VUV) or ultraviolet (UV) photons on the surface reactions in the plasma etching processes through observation of the DBs creation. Soon after the plasma process, ESR spectra were measured following transferring to the ESR cavity under vacuum ambient. Experimental results showed that DBs are efficiently created by irradiation of plasma emissions such as VUV and UV photons. In fluorocarbon polymer, C-DBs with surrounding F atoms (Hyperfine interaction of 9.1 and 3.4mT.) are created by emissions of CF4 plasmas (Intensive radiation in UV range at about 250nm by CFx). In amorphous fluorocarbon (a-C:F) films, the C-DBs may play roles of enhancement both of adsorption of gaseous CFx radicals and of removal itself by bond-breaking in the polymer. On the other hand, Si-DBs (E' center) in the SiO2 film are created by irradiation of emissions in VUV range below 140nm (Most of radiation in atomic emissions such as C, F, and Ar). We speculate tentatively that not only reactive species but also plasma characteristics as emissions affect to creation of the DBs, and the created DBs contributes on the surface reactions of the fluorocarbon plasma etching processes, especially employing high density plasmas.


1 K. Ishikawa, et al. Appl. Phys. Lett. 81, 1773 (2002).

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule