AVS2005 Session EM-MoM: Electronic Properties of High-k Dielectrics and their Interfaces

Monday, October 31, 2005 8:20 AM in Room 309

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
8:20 AM EM-MoM-1 Electronic Properties of High-K Dielectrics and their Interfaces
J. Robertson (University of Cambridge, UK)
The continued scaling of CMOS devices means that SiO2 must be replaced as gate oxide by a dielectric of higher dielectric constant (K) to minimise gate leakage.1. The presently preferred candidates are HfO2, its silicates and nitrided alloys. La oxide and LaAlO3 are possible in the future. The reasons for this selection in terms of band offsets will be given,2 including their measurement and calculation. Despite much success in developing growth methods for high K oxides, including ALD, presently the performance of FETs with HfO2 are worse than those with SiO2 in terms of threshold voltage shifts, carrier mobility and trapped charge. This arises partly from the more complicated interface structure and greater bonding possibilities than at an Si:SiO2 interface. We show it is possible to define an ideal abrupt Si:HfO2 interface in terms of its bonding; only Hf-O and Si-O bonds or only Hf-Si bonds.3 In fact, a number of high symmetry and lower symmetry abrupt interfaces are possible. The structure, electronic structure and band offsets for these are given. It is then possible to define a defective interface; one containing mixed bonding. It is shown how such mixed bonding at the "back interface" between the HfO2 and poly-Si gate may be the cause of the excessive gate threshold voltages.4


1 J Robertson, "High dielectric constant oxides", Euro Phys J Appl Phys 28 265 (2004)
2 J Robertson, "Band offsets of wide band gap oxides and implications for future electronic devices", J Vac Sci Technol B 18 1785 (2000)
3 P W Peacock, J Robertson, "Bonding, energetics and band offsets of Si-ZrO2 high-dielectric constant gate oxide interfaces", Phys Rev Letts 92 057601 (2004)
4 K Xiong, P W Peacock, J Robertson, "Fermi level pinning and Hf-Si bonds at HfO2: poly-Si gate electrode interfaces", App Phys Lett 86 012904 (2005).

9:00 AM EM-MoM-3 The Effect of Nitrogen Incorporation on the Material and Electrical Properties of HfO2 on Si
M. Sawkar, J. Choi, R. Puthenkovilakam, J.P. Chang (UCLA)
Alternative gate dielectrics are required in the future generation of MOSFET devices to enable their rapid down-scaling. One of the most promising candidates is HfO2. Unfortunately, HfO2 has a low crystallization temperature, resulting in its structural change from amorphous to polycrystalline under high-temperature processing, which results in grain boundaries that serve as a path for leakage current, oxygen diffusion, and impurity diffusion. The incorporation of nitrogen in HfO2 films increases the temperature at which the grain boundaries form allowing these films to remain amorphous at higher temperatures. This paper discusses the effect of nitrogen incorporation on the material and electrical properties of HfO2. XPS, ellipsometry, and HRTEM are used to characterize the material properties. To characterize the electrical properties, MOS capacitors are fabricated to examine the capacitance-voltage (C-V) and current density-voltage (J-V) characteristics. Preliminary results showed that the leakage current density of the nitrogen incorporated samples is approximately three orders of magnitude lower than the as-deposited HfO2. We also performed first-principle simulations within the framework of density functional theory to assess the effect of nitrogen incorporation on the bulk electronic properties of HfOxNy, as well as on the dielectric/Si interface properties. The simulation shows that the N 2p state is extended further toward the conduction band and the ionic character of Hf-N bonding is less pronounced with increasing nitrogen incorporation, resulting in a reduction in the band gap. The band alignment at the HfOxNy/Si interface calculated using the (HfO2)(Hf3N4) structure as a model stoichiometry revealed an estimated valence-band offset of 1.14 eV, which is significantly lower than that reported for HfO2/Si.
9:20 AM EM-MoM-4 Suppression of Fixed Charge at Internal Interfaces between SiO2 and Dual-Layer High-k Gate Dielectrics: HfO2-Al2O3
C.L. Hinkle, G. Lucovsky (North Carolina State University)
A reduction in interfacial fixed charge between SiO2 and high-k dielectrics has been studied using a dual layer high-k stack to match intrinsic bonding properties at interfaces. Previous studies of Al2O3 on SiO2 have shown a large amount of fixed charge at the interface, effectively eliminating Al2O3 from the discussion of alternative gate dielectrics. However, a self-organization occurs for Zr and Hf oxides and silicates after anneal that leaves low interfacial charge at the interface of those materials and an SiO2 buffer layer. The density of interfacial defects has been shown to scale with the step in bonds per atom at the interface, Dint α [Nav(A) - Nav(B)]2. Using this scaling as a guide, internal interfaces can be engineered from materials that match the average bonds per atom on both sides of the interface thereby reducing the number of defects and suppressing the fixed charge. A gate stack using SiO2-HfO2-Al2O3 has been produced using these techniques. The SiO2-HfO2 interface becomes self-organized and relatively defect low after anneal at 800°C whereas the HfO2-Al2O3 interface has a matchup of Nav intrinsically. Electrical characterization of the gate stacks was done using C-V measurements to analyze the flatband voltage shift in these materials. The flatband voltage for the SiO2-HfO2-Al2O3 stack is effectively the same as a film of SiO2 showing the reduction in fixed charge expected from the theory mentioned above. Different thicknesses of each layer have been studied as well as frequency dependent C-V to determine trapping at the internal interfaces. Using this proposed method extends the range of usable high-k materials.
9:40 AM EM-MoM-5 Self-organization of Thin Film Dielectrics and Their Interfaces in Gate Stacks for Advanced Si Devices
G. Lucovsky (NC State University); J.C. Phillips (Rutgers University)
Self-organizations which inhibit percolation of network bond-strain are addressed. These occur i) within multi-atom gate dielectrics, Si3N4:H and Si3N4-SiO2-ZrO2 alloys, ii) at Si-SiO2 interfaces, and iii) at internal interfaces in multi-layer dielectrics. All are driven by the same mechanism, but the dimensionalities of the materials systems are different. The dielectric films are 3-dimensional, but interfaces are 2-dimensional. The self-organizations of Si3N4:H and Si3N4-SiO2-ZrO2 dielectrics arise from stressed-rigid bonding, and prevent percolation of bond-strain at processing temperatures greater than the deposition temperatures. For the Si3N4:H and Si3N4-SiO2-ZrO2 alloys, compositions are identified that prevent chemical phase separation, and subsequent degradation of device properties. At the Si-SiO2 interface the self-organization is at a molecular scale in a layer ~0.3-0.5 nm thick. This self-organization relieves in-plane strain, and provides for the first time a quantitative understanding for roughness scattering of channel electrons/holes in field effect transistors. This self-organization has enabled the information science revolution to proceed by down-sizing of Si integrated circuits and making Moore's Law for performance, cost and increased functionality a reality. Finally, self-organization at SiO2-high-k internal interface is enabling for continuing Moore's Law scaling with alternative high-k dielectrics such as HfO2 and Al2O3.
10:00 AM EM-MoM-6 Physical and Electrical Properties of LaAlO3, Potential Candidate for High K Gate Dielectric
V. Edon, M.C. Hugon, O. Voldoire, B. Agius (Universite Paris Sud, France); I.J.R. Baumvol, L. Miotti, K.P. Bastos (Universidade Federal do Rio Grande do Sul, Brazil)
There is currently an extensive research effort to find an alternative gate dielectric to replace SiO2 in metal oxide semiconductor field effect transistors (MOSFETs). LaAlO 3 is a promising material: it has a dielectric constant in the range 20-27. RuO2 is proposed as a gate electrode. LaAlO3 films were deposited by rf magnetron sputtering of a LaAlO3 target in argon atmosphere. Thin film properties are studied as a function of deposition parameters (rf power, process pressure) and thermal annealings (in 16O2 or 18O2 atmospheres - temperatures from 450 to 1000 °C). Physical properties of as deposited material (composition, density), as determined by RBS, NRA, and X-ray reflectometry, are seen to depend slightly on deposition parameters. The films are stoichiometric and present a density of 5.5 ± 0.5g.cm-3 close to the bulk material one (6.5g.cm-3). The thermal stability of LaAlO3 has been investigated under typical conditions of a MOSFET processing. O and Al transport during annealings are investigated with sub-nanometric depth resolution via the narrow resonances of 18O(p,α)15N at 151 keV (fwhm=100eV) and 27Al(p,γ)28Si at 404.9keV (fwhm=40eV) respectively. The interfacial characteristics of LaAlO3/Si were measured by spectroscopic ellipsometry, X ray reflectometry. The initial measurements subject the presence of an interfacial layer. We have performed high frequency (1MHz, 100kHz) C-V and I-V characteristics on RuO2/LaAlO3/Si MIS structure. After a thermal treatment at 600°C, the C-V curves exhibit well defined accumulation, depletion and inversion regimes which indicate a low interface state density. With the device biased in accumulation regime, a permittivity of 15 was deduced. Low leakage current is detected for films deposited at 0.5Pa or 5Pa and annealed at 600°C under 1 atm of oxygen.
10:20 AM EM-MoM-7 Magnesium Oxide as a High-K Gate Dielectric
L. Yan, C.M. Lopez, E.A. Irene (University of North Carolina-Chapel Hill)
The presently considered candidate high Κ dielectric materials are reactive with Si and SiO2 and most metals yielding undesirable interfacial electronic properties. While magnesium oxide (MgO) does not have as high of a Κ value (about 9.8 for bulk material) as many of the other candidate dielectrics (e.g., ZrO2 and HfO2), it has its unique advantages such as chemical inertness enabling sharp interfaces and wide bandgap (7.3 eV) for large band offsets with silicon (Si) to minimize leakage. In this study, MgO thin films were deposited via reactive rf sputtering on both bare Si and SiO2 coated Si. In situ spectroscopic ellipsometry (SE) and Mass Spectrometry of Recoiled Ions (MSRI) were used to monitor the film growth in real time and cross-sectional transmission electron microscopy and atomic force microscopy were used to determine interface and surface roughness, respectively. Capacitance versus voltage (C-V) and conductance (G(ω)) versus gate voltage (G(ω)-V) measurements were performed on capacitor structures in order to determine Κ, and the density of interface states (Dit), respectively. Thus far a value of about 8 was obtained for the static dielectric constant for 10 nm films and interface charge and Dit results are comparable with SiO2 on Si. Based on our measured properties it is concluded that thin MgO films can provide a high K dielectric for many electronic applications.

This work is supported by the National Science Foundation (NSF) Materials Research Division.

10:40 AM EM-MoM-8 Spectroscopic Studies of Band Alignment in Metal/High-k Dielectric/Si Gate Stacks
E. Bersch, S. Rangan, O. Celik, W. Jiang, C.L. Hsueh, E. Garfunkel, R.A. Bartynski (Rutgers University)
The continued scaling of CMOS devices requires the replacement of SiO2 with a higher dielectric constant oxide, and of the doped poly-silicon gates with metal electrodes. The band alignment at these interfaces is critical in that there must be sufficient valence and conduction band offsets (> 1.0eV) to limit the leakage current across the dielectric to a tolerable level. We have measured the conduction and valence band offsets of metal/high-k/Si gate stacks with inverse photoemission and photoemission, respectively, for various combinations of candidate gate metals and alternate dielectrics, in situ. Using inverse photoemission, the conduction band minimum (ECBM) is found to be 2.2 eV above the Fermi level ALD grown HfO2/Si films. This value is reduced by ~ 0.3 eV on films annealed to 700oC. The energy of the CBM of hafnium silicate films grown on Si that were subjected to a 1000oC anneal is found to be independent of silicate concentration, while similarly processed nitrided hafnium silicate films annealed exhibit in increase in the CBM energy with increasing silicate concentration. Upon metallization with Ru, ECBM of each of these systems decreases by ~ 0.3eV. We have also measured these offsets on the same samples with x-ray absorption spectroscopy and internal photoemission. The results of all of these methods will be compared to each other, and the merits of each method will be discussed.
11:00 AM EM-MoM-9 Characterization of Nitrided Hafnium Silicates for High-κ Dielectrics Using Photoelectron Spectroscopy
A. Mathew, K. Demirkan (University of Delaware); C.-G. Wang, G. Wilk (ASM America); R.L. Opila (University of Delaware)
Nitrided hafnium silicates are strong contenders to replace the silicon dioxide gate dielectric in transistors for low standby power applications. Photoelectron spectroscopy with its sensitivity to local chemical bonding is an invaluable tool for investigating this dielectric. Hafnium silicates were deposited using Atomic Layer Chemical Vapor Deposition and subsequently nitrided. Nitrogen incorporation prevents dopant diffusion from the poly-silicon. Rapid thermal annealing of the nitrided and as deposited films can induce spinodal decomposition of these films. The Hf 4f and Si 2p binding energies in unannealed, non-nitrided films change as a function of Hf/Si composition. This shift in binding energy is attributed to the electronegativity of second nearest neighbors. After annealing, the Hf 4f and Si 2p peaks do not vary as a function of composition, but are not at the silica 2p and hafnia 4f positions. The distribution of nitrogen in the film can be determined from photoelectron spectra collected as a function of take off angles. Results suggest that nitrogen replaces oxygen. The variation in the Hf 4f, Si 2p and N 1s binding energies as a function of annealing temperature and phase composition will be discussed. The effect of nitrogen upon the binding energies of the Hf 4f, O 1s and Si 2p before and after annealing, as well as its role in the phase decomposition upon annealing will be discussed.
11:20 AM EM-MoM-10 Surface Functionalization and Interface Characterization for HfO2 Growth by Atomic Layer Deposition
Y. Wang, M.-T. Ho, L.S. Wielunski, L.V. Goncharova, T. Gustafsson, Y.J. Chabal (Rutgers University); N. Moumen (Sematech); M.P. Boleslawski (Aldrich Chemical Co.)
Hafnium oxide is a promising candidate to replace SiO2 and prevent current leakage in nanoscale transistors. For fabricating ultra-thin films, atomic layer deposition (ALD) is the preferred growth method. However, formation of interfacial SiO2 during the ALD growth significantly increases the equivalent oxide thickness (EOT), partly negating the value of high-κ dielectrics. Our approach to minimizing interfacial SiO2 formation during HfO2 deposition is based on surface pretreatment of H-passivated silicon surfaces with Cl2 and NH3, followed by studies of the effect of post annealing on the nature of the interface. Using various surface/interface analytical techniques, such as in situ infrared spectroscopy, ex situ Rutherford back scattering spectroscopy (RBS) and medium energy ion scattering spectroscopy (MEIS), we have found that surface termination/passivation by hydrogen, chlorine, or silicon nitride can effectively prevent silicon oxidation during HfO2 ALD growth, while fostering a linear growth of HfO2 (~0.09 nm per ALD cycle). In situ IR studies show a linear incorporation of hydroxyl within the HfO2 film during growth, constituting a source of excess oxygen within the film. Upon annealing above 400°C, interfacial SiO2 is formed as the hydroxyl content of the film decreases down to less than 10% of the initial value. The initially amorphous HfO2 film begins to crystallize at 500°C, as reflected in the appearance of a sharper HfO2 phonon mode (~780 cm-1) and in the angular dependence in the MEIS. Preliminary electrical properties measurement indicates the leakage current of the ALD-grown HfO2 is small on the pre-functionalized silicon substrate.
11:40 AM EM-MoM-11 Differences in Band Edge Electronic Structure between Nanocrystalline ZrO2 and HfO2 Thin Films, and Bulk Crystals and Thin Films of Cubic Zironia (ZrO2-Y2O3) and Hafnia (HfO2-Y2O3)
N.A. Stoute, H. Seo, C.C. Fulton, D.E. Aspnes, G. Lucovsky (North Carolina State University)
There is considerable interest in the band edge electronic structure of high-k oxides for applications as gate dielectrics in advanced semiconductor devices. This paper compares band edge electronic structure of nanocrystalline (nc) thin films of ZrO2 and HfO2, with i) bulk crystals of cubic zirconia and hafnia, e.g., ZrO2 that is cubic as a result of incorporation of 5%-30% Y2O3, as well as ii) thin alloys of nanocrystalline ZrO2-Y2O3 over a wider composition range. The band edge electronic structure of these alloy dielectrics has been studied by visible-near UV optical transmission and visible-near-UV-VUV spectroscopic ellipsometry. Band edge structure is also compared with ab initio calculations. For (Y2O3)0.095(ZrO2)0.905 we observe a sub-bandgap absorption onset at ~4 eV, an absorption edge at ~5.3 eV and a weak d-state structure at ~6.3 eV. In contrast, nc-ZrO2 has an absorption edge at ~5.7eV, and prominent d-state features at ~6.2 and 7.0 eV. Cubic (Y2O3)0.15(HfO2)0.85 displays sub-bandgap absorption at ~ 4 eV, an absorption edge at ~5.9 eV, and a weak, broad d-state feature at ~7.5 eV, compared with an absorption edge at ~5.8 eV and sharp d-state features at ~6 and 7.2 eV in nc-HfO2. The end-member ZrO2 and HfO2 nanocrystalline thin films display Jahn-Teller distortions that remove band edge d-state degeneracies that are not present in the Y2O3-stabilized cubic phases. The Y2O3-stabilized cubic phases display sub-bandgap absorption attributed to deep electronic states associated with the O-vacancies, while the nc-thin films display defects ~0.5 to 0.8 eV below the conduction band edge. These have been assigned to nanocrystalline grain boundary defects.
Time Period MoM Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule