AVS2004 Session PS-TuP: Poster Session

Tuesday, November 16, 2004 4:00 PM in Room Exhibit Hall B

Tuesday Afternoon

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule

PS-TuP-1 CVD Chamber Cleaning by F2 Remote Plasma Processing
S.C. Kang, J.Y. Hwang, N.-E. Lee (Sungkyunkwan University, South Korea); K.S. Joo, G.H. Bae (Shihwa Indus. Com, South Korea)
Cleaning of chemical vapor deposition (CVD) chamber during the deposition of SiO 2, Si 3N4, Si, and W thin films in Si device manufacturing fabs has been carried out using perfluorocompounds (PFCs) including C 2F6, NF 3, C 3F8, and C 4F8 etc. During CVD chamber cleaning using PFCs, effect of re-emitted PFCs on global warming and difficulty of cleaning the silicon oxide layers have been of great concern. F 2 cleaning is expected to get rid of the global warming effect completely and contribute to a reduction of operating costs by the use of on-site F 2 generation systems. However, adoption of F 2 CVD chamber cleaning has been limited due to high toxicity and reactivity of F 2 gas. In this study, we carried out CVD chamber cleaning experiments by F2 remote plasma generated from a toroidal-type remote plasma source in a commercial 8-inch plasma enhanced chemical vapor deposition (PECVD) system. In this experiment, bottled F 2 gas was used. Due to difficulty of handling F 2 gas, various safety measures for storage and delivery were made. Chamber cleaning experiments of silicon oxide layers including PE-TEOS and BPSG were carried out by varying the F 2 /Ar gas flow ratio, flow rate, pressure, and temperature. Species emitted during cleaning were monitored by Fourier transformed infrared spectroscopy (FT-IR) and residual gas analyzer (RGA). Under the current experimental condition, cleaning rate was increased with F 2 gas flow rate increased. Destruction removal efficiency (DRE) of F 2 gas was calculated by evaluating the emitted F 2 gas during cleaning using residual gas analysis. Effect of various process parameters on cleaning process will be discussed in detail.
PS-TuP-2 Design and Development of an Advanced Dielectric Etch Tool using Simulation
K. Bera, Y. Ye, D. Hoffman, G.A. Delgadino, J. Carducci (Applied Materials, Inc.)
Creating a successful all-in-one (main etch, PR ash, and clean) chamber for dual damascene etch requires thorough understanding of the relationships among plasma density, ion energy, and distribution of charged and neutral species to achieve operational capability over a wide range of plasma density and ion energy at widely different pressures and gas flows. This paper presents several aspects of hardware design and process optimization for a 300mm etcher for 90nm technology and below in which simulation was used to gain insight into these phenomena. Plasma simulations demonstrated that higher frequencies generated denser plasma for a given power than lower frequencies, which focused the selection of source frequency for the reactor. Higher frequencies were shown to improve the dissociation fraction promoting creation of desirable facet-protecting polymers. Simulations further revealed that higher frequencies produced lower-energy, less potentially damaging ion bombardment to the wafer. Simulations guided effective chamber cleaning using source. Confinement ring design was optimized using plasma simulation that allowed us to confine plasma for clean mode operations. Flow simulation calculated chamber conductance guiding the chamber design for wide process window. Simulation showed that Neutral Species Tuning Unit (NSTU) can tune pressure and velocity uniformities, and hence CD-bias and profile uniformities. Separate control of plasma density and energy distribution from distribution of neutral and charged species within the chamber was used to demonstrate independent optimization of the etch rate and CD-bias uniformities for dual damascene trench etch process.
PS-TuP-3 Spectroscopic Study of Effect of Wall Conditions on Gas Phase and Surface Phase Chemistries in Inductively Coupled Fluorocarbon Plasmas
B.S. Zhou, E.A. Joseph, S.P. Sant, L.J. Overzet, M.J. Goeckner (University of Texas at Dallas)
The effect of wall conditions including dimension, material, temperature, and cleanliness on the gas phase and surface phase chemistries in CF4 plasma etching of Si was studied in the modified Gaseous Electronics Conference (mGEC) reference cell. In the mGEC, Al inner walls of various diameters were used to confine the plasma to the center of the chamber and induce changes in the gas phase chemistry. The range of the wall temperature was 25 -150°C. The gaseous molecules and radicals monitored included CF4, CF3, CF2, SiF4 and COF2, among which CF4 and SiF4 were found to be the two dominant species, accounting for about 80% of the total concentration. The density ratio of SiF4 and COF2 was about 2:1 with no bias on the substrate and increased to ~6:1 when Si substrate etching took place. Specifically, as the Si etch rate increased, the COF2 density dropped, likely due to suppressed etching of the quartz source window, while the density of SiF4 increased. These rates are likely linked through the atomic fluorine density. In addition, the CF3 radical density was observed to increase over time. This is indicative of increased surface production of fluorocarbon species from CFx film on the wall. This work is supported by a grant from NSF/DOE, CTS-0078669.
PS-TuP-4 Stabilizing Plasma and RF Generator Interactions
V. Brouk, R. Heckman (Advanced Energy Industries, Inc.)
Current trends towards reduced semiconductor feature sizes has pushed many etch processes into lower pressure regimes, which challenges both stable and accurate RF power delivery at relatively low output power levels. Variations in plasma impedance are more pronounced at lower output powers as compared with operation at higher power levels. In low pressure plasmas that process electronegative gases, operation at low RF output power can result in plasma instabilities that may be attributed to the dynamic interaction between the power-dependent plasma impedance and load-dependent RF delivery system. The power supply and match network control circuits are often unable to counteract these instabilities, sometime even exasperating the problem, resulting in uncontrollable and unrepeatable variations in process parameters. In this study, we will investigate the electrical model that describes how the plasma processing system and the RF delivery system can interact to influence the stability of the plasma. A means for quantifying and measuring the combined stability factor for the RF delivery system and plasma will be shown. This study will review the differences between slow and fast instabilities, and discuss both active and passive stabilization techniques that can increase the stability region for the RF generator and plasma system.
PS-TuP-5 Effect of Magnetic Field on the Characteristics of Internal Linear-type Inductively Coupled Plasma Source
G.Y. Yeom, K.N. Kim, S.J. Jeong (Sungkyunkwan University, South Korea)
Due to the increase of substrate size and the requirement of high rate processing, large-area high density plasma sources are required for both microelectronics and flat panel display industry. Among the various high density plasma sources, inductively coupled plasma sources are preferred due to its simple physics and scalability. However, conventional spiral-type external inductively coupled plasma source can not be easily applied to the flat panel display processing due to the standing wave effect, increased capacitive coupling, etc. In our study, a large area (1020mm x 830mm) internal linear inductively plasma source was investigated as a possible high density plasma source for the application to flat panel display processing. Especially, in this presentation, the effect of permanent magnet array on the large area (1020mm x 830mm) linear internal inductively coupled plasma source will be discussed. By the various arrangements of the permanent magnet arrays relative to the inductive source, the uniformity of the plasma has changed significantly, and, by optimizing the magnet arrangement, the plasma uniformity of 2% could be obtained on the substrate. Also, with the optimized magnet arrangement, the photoresist etch rates showed about 60% higher etch rates compared to those without the magnetic field in 15mTorr O2 gas.
PS-TuP-6 Mass and Optical Spectroscopic Studies of an Inductively Coupled Oxygen Plasma
P.F. Kurunczi, V.M. Donnelly (University of Houston)
Mass spectrometry and optical emission spectroscopy were used to measure atomic O in a high-density, inductively coupled oxygen plasma. The plasma reactor consists of a water cooled quartz tube surrounded by a coil powered at 13.56 MHz. An electromagnet confines the high density plasma to the center of the chamber. The walls of the plasma chamber are coated with aluminum oxide. The quadrupole mass spectrometer was line of sight with the center of the plasma. The plasma species pass through a 2mm dia. orifice in the wall of the reactor and traverse through two differentially pumped chambers. With the plasma chamber at 10 mTorr we obtain a background pressure of 5 x 10-9 Torr in the mass spectrometer. The resulting molecular beam was chopped at 103 Hz. with a tuning fork placed between the last aperture and the entrance to the ionizer, resulting in a beam to background signal intensity ratio of 30:1. Gated pulse counting in phase with the chopping frequency was used to subtract the background from the beam signal. With the plasma off a strong beam signal was observed at mass 32 and a weak signal at mass 16 due the small amount of dissociative ionization of O2 at the mass spectrometer ionization energy of 23 eV. With the plasma on the signal at mass 16 increases dramatically due to the formation of oxygen atoms in the plasma. From the known cross sections of O and O2 we computed the absolute O atom densities with the plasma on. O atom densities increase proportionally with power and inversely with pressure, reaching 6.5% of the total density at 500 W and 2 mTorr. At 8mTorr and 500 W the percent O dropped to 2.5%. The inverse pressure dependence is ascribed to an increase in electron temperature and resulting increase in the dissociation rate constant. Optical emission actinometry measurements from the center and in directly in front of the mass spectrometer sampling orifice will also be reported and compared with the mass spectroscopic results. Work supported by the Petroleum Research Fund.
PS-TuP-7 Sub Millimeter Absorption Spectroscopy of Oxygen Containing Fluorocarbon Etching Plasmas
E.C. Benck, K. Siegrist (NIST)
The role of oxygen in fluorocarbon etching plasmas is investigated using sub millimeter wavelength absorption spectroscopy. The plasmas were created in a specially modified capacitively coupled Gaseous Electronics Conference (GEC) Reference Reactor with a commercial electrostatic chuck. Photoresist and SiO2 blanket coated wafers were etched in C4F8/O2/Ar, C5F8/O2/Ar, and C4F6/O2/Ar discharges. The absolute density of various radicals (CF, CF2, CHF3, COF2, CO, etc.) were measured as a function of the percentage of oxygen in the feed gas mixture using a sub millimeter source based on a 48x frequency multiplication chain. These results are also compared to the oxygen containing fluorocarbon gas C4F8O.
PS-TuP-8 In Situ Diagnostics in a High Density Inductively Coupled Methane Discharge
T. Meziani, P. Colpo (Joint Research Centre of the European Commission, Italy); P.R. Ranson (GREMI - Orleans University, France); F. Rossi (Joint Research Centre of the European Commission, Italy)
The high plasma density, independent control of the ion energy, and low-pressure operation of the inductively coupled plasma source made it very successful for dry etching processes. However, its wide operating pressure range makes it also a tool of interest for PECVD. In this work, a novel inductively coupled plasma source was used for the chemical vapour deposition of diamondlike carbon coatings from a methane precursor. This source uses a special arrangement where the coil antenna is embedded in a magnetic core thus offering high efficiency, high plasma density and very good uniformity. This paper presents the plasma diagnostic of the methane discharge carried out by means of Langmuir probe, mass spectrometry including ion energy distribution measurements, and optical emission spectroscopy. Langmuir probe measurements could be successfully applied taking care of removing systematically the insulating carbon layer formed on the probe tip. The plasma density, electron temperature, and eedf were measured varying different process parameters like inductive power, total pressure, residence time, and gas mixture. Different features were found with EEDF ranging from Maxwellian to Druyvestein or to a bi-temperature distribution at lower pressures. Coupled with mass spectrometry, the probe measurements allowed for the estimation of the absolute mass-resolved ion fluxes impinging on the substrate. The ion energy distributions (IED) were also determined and gave some insight on the formation of the different ions bombarding the growing carbon layer. Mass spectrometry measurements showed that CH4 is almost totally dissociated in this source when working with flow rates around 40 sccm at 20 mtorr. Finally, relative radical concentrations were assessed by mass spectrometry and optical emission spectroscopy. The diagnostics results were correlated to the analysis of the carbon coatings and helped explaining the growing mechanisms in our reactor.
PS-TuP-9 Electron Probe Currents in ICPs
F.F. Chen (UCLA)
Measurements of plasma density and electron temperature with Langmuir probes in low-density RF plasmas depend on proper compensation for oscillating potentials, but there are further difficulties. In regimes where Orbital-Motion-Limited (OML) theory should be valid, we find that the electron saturation current (Ie) cannot be obtained; instead, Ie rises almost linearly with probe voltage Vp. By monitoring the floating potential with a second probe, we find that a positive Vp on a cylindrical probe greatly affects the space potential Vs, dragging it up with Vp so that saturation is never obtained. This occurs even in a grounded chamber and is characteristic of all electrodeless discharges. The reason is that a large Ie to the probe has to be balanced by an equal ion current to the walls. To increase this ion current, an ambipolar potential has to be set up to push the ions outward against charge-exchange collisions. The drift in Vs to the new equilibrium state can take from milliseconds to seconds. Thus, a DC measurement gives erroneous results and must be corrected by the change in Vs. Another effect is an enhancement of the ion current at large negative Vp. This is probably due to the effect of ion-neutral collisions on the orbiting. Suggestions for improved probe techniques will be presented.
PS-TuP-10 Spatio-temporal Characterization of Pulsed, Electron Beam Generated Plasmas for Materials Processing
S.G. Walton, D. Leonhardt (Naval Research Laboratory); C. Muratore (ASEE Postdoctoral Fellow); R.F. Fernsler (Naval Research Laboratory)
In plasma-based materials modification, regulating the flux of ion, neutral, and radical species at the substrate surface is a critical component of process control. The plasma density determines the flux while the electron temperature influences the energy of these species and so both can be used to regulate reactive species at the substrate. To this end, both modulated plasma production and remote plasma sources are typically employed to control the relative ion and radical fluxes and energy through temporal or spatial variations in the bulk plasma. In this paper, spatio-temporal characterizations of pulsed, electron beam-generated plasmas produced in molecular gases will be presented. Mass and time-resolved measurements of ion fluxes and energy distributions are presented and correlated to measurements of the plasma density, potential, and electron temperature. Previous work has shown that energetic electron beams are efficient at producing high-density plasmas (ne > 1011 cm-3) with low electron temperatures (Te < 0.5 eV) over the volume of the beam. The resulting species fluxes and ion energy distributions at remotely located electrodes have unique characteristics and can be useful in range of processing applications. Namely, low ion energies (< 4 eV), regimes of large atomic-to-molecular ion ratio (>1), and ion-to-radical fluxes that are adjustable with electrode position. Modulating the electron beam can further enhance these attributes. Measurements are presented for a range of operating conditions and electron beam-to-electrode distances for plasmas produced in nitrogen, oxygen, TEOS and mixtures thereof. The results compliment and are used to understand various processing applications under development in our laboratory. This work supported by the Office of Naval Research.
PS-TuP-11 Study on Relation Between CF2 Radicals and Plasma Parameters in ICP Plasmas with Laser-Induced Fluorescence and Wave Cutoff Probe
J.-H. Kim, Y.-S. Yoo, Y.-H. Shin, K. Chung (Korea Research Institute of Standards and Science, Korea)
The behavior of CF2 radical was studied in CF4 inductively coupled plasma. CF2 radical was measured using a laser-induced fluorescence method [1,2]. Absolute electron density was measured using a cutoff probe [3], which was newly developed, and the electron temperature was measured using a double probe to study relation between the electron property and CF2 radical. CF2 density is drastically changed by variations of operating pressure, ratio of mixed gases and RF source power. To examine the relation between electron density and CF2 radical, CF2 radical and electron density were measured as varying the RF power which is a major external parameter influencing to the electron density. As the RF power was increased, CF2 radical density increased in the range of low electron density and then decreased over a critical electron density. Dependence of CF2 radical density on the electron density was theoretically analyzed with rate equations. The theoretically analyzed relation between the electron density and the CF2 radical density was in good agreement with the experimental result.

[1] G. Cunge, P. Chabert and J.P. Booth, J. Appl. Phys. V89, p7750 (2001). [2] S. Hayashi, K. Kawashima, M. Ozawa, H. Tsuboi, T. Tatsumi, and M. Sekime, Sci. and Tech. Adv. Mat. V2, p555 (2001). [3] J.H.Kim, D.J.Seong, J.Y.Lim, and K.H.Chung, Appl. Phys. Lett. V83, p4725 (2003).

PS-TuP-12 Simulation Study of Plasma Display Panel Micro Discharge at Atmospheric Pressure Regime
S. Mukherjee, J.K. Lee (Pohang University of Science and Technology, South Korea)
Plasma display panels (PDPs) are high pressure micro discharges that are promising sources of light, ions and radicals. The cell dimensions in a conventional PDP are in the order of microns and operated at high pressures of 400-500 Torr. In our study we operate the panel at pressures in atmospheric range to study the distinction in the characteristics of the discharge. At constant pd value of 10 Torr-cm by increasing pressure p (ranging from 0.5 to 2 atm) and reducing gap length d (up to 60 microns), we observe similar discharge characteristics as of conventional PDP. The Xe concentration is varied from 10 to 50 percent under such pressures in the Ne-Xe gas mixture that is used in our simulation, to observe the predictable increase in the driving voltage and anomalous behavior of the emission spectra at the dielectric at such pressures and concentrations. It is also beneficial to the study the variation in efficiency, power consumption, density of electrons and metastables at such pressures and concentrations. The UV emission line spectra for excited Xe (3P1) are also affected at such high pressures. We also investigate the difference in the discharge characteristics in the presence and absence of radiation trapping1 and dimers2 in our fluid simulation.


1H.C. Kim, S.S. Yang, and J.K. Lee, J. Appl. Phys. 93(12), 9516 (2003).
2K. Wojciechowski et.al, Radiation Physics and Chemistry 99, 85(6).

PS-TuP-13 Effects of a Pulse Duty Ratio in Dual Frequency Capacitively Coupled Plasma and a Magnetic Field by a Three-Dimensional Charge-Up Simulation
S.J. Kim, S.J. Wang (Pohang University of Science and Technology, South Korea); H.J. Lee (Pusan National University, South Korea); J.K. Lee (Pohang University of Science and Technology, South Korea)
A dual frequency Capacitively Coupled Plasma (CCP) which independently controls an ion flux and an ion energy is widely used for typical dielectric etching. However, it induces a charge-up damage, which has a harmful effect on the reliability of a device. We have studied charge-up effects in the dual-freq. CCP by a three-dimensional charge-up simulator. In the charge-up simulator [1], the Laplace equation for an electric field calculation is solved. The energy and the angle distributions of ions and electrons used as input parameters are obtained in the dual-frequency CCP by a one-dimensional Monte-Carlo Particle-in-Cell (PIC) simulation [2]. In the dual-frequency CCP, 2MHz pulse is used as a low frequency source and a high frequency is 27MHz. Pulse conditions such as a rising time and a sustain time of the pulse which influence a plasma density and an electron temperature are investigated. The charge-up damage is produced by different motions of ions and electrons. Thus, negative ions as a substitute for electrons reduce the charge-up damage. A pulse duty ratio controls the ratio of a positive ion flux to a negative ion flux in oxygen plasma. Optimal conditions of a pulsed discharge are obtained in order to reduce the charge-up damage and to increase the etch rate. A magnetic field is applied to substrate as a method for the reduction of the charge-up damage. The effect of the magnetic field on the substrate is investigated by the 3D charge-up simulation. This work is supported by the national program for Tera-level nanodevices in Korea Ministry of Science and Technology. [1] H.S. Park, S.J. Kim, Y.Q. Wu, and J.K. Lee, "Effects of plasma chamber pressure on the etching of micro structures in SiO2 with the charging effects", IEEE Trans. Plasma Science 31 (4), 703 (2003). [2] H.C. Kim, J.K. Lee, and J.W. Shon, â?oDischarge asymmetry induced by the pulse radio-frequency currentâ?, Appl. Phys. Letts. 84, 864 (2004).
PS-TuP-14 Time and Space Resolved Optical Emission Spectrogram of Inductively Coupled Chlorine Plasmas for Etch Process
P.H. Huang, T.L. Lin, K.C. Leou, H.J. Ding, C. Lin (National Tsing Hua University, Taiwan)
The applications of optical emission spectroscopy as a quantitative plasma diagnostic technique are powerful tools with the highest benefit being noninvasive measurements of chemically complex discharges. The major task of this work1 was to develop a time and space resolved spectrograph measurement systems of plasma induced emissions from processing plasmas, such as plasma etchers. We used the optical emission tomography (OET) technology and optical emission actinometry (OEA) principles to measure Cl+, Cl and Cl2 density variations with space and time in a high density inductively coupled plasma (ICP). The measurement system consisted of a 3 channels spectometer and a motor driven scanning stage mounted on a slot shaped vacuum window on the processing chamber. The optical emissions were sampled by a optical fiber adaptor mounted on the motorized stage which can scan across the chamber horizontally. Due to the configuration of the scanning system, the sampled optical emissions from the plasma are not at the same point in the space-time phase space. A polynomial interpolation method was employed to obtain spectra intensities of Cl+, Cl and Cl2 at the same point in space-time phase space. Consequently, we could analyze the spatial-temporal transient behaviors. Experimental results show that spatial profiles of Cl+, Cl, Cl2 densities evolve in significantly different trend during the etch process. This might be results from the generation of etch products, SiClx, and changing of chamber wall conditions.


1 This work has been supported by the National Science Council, ROC, grant no. NSC 92-2218-E-007-019.

PS-TuP-15 Controlling of UV Radiation Damages using On-wafer Monitoring Technique
M. Okigawa, Y. Ishikawa, Y. Katoh, S. Samukawa (Tohoku University, Japan)
Gate insulator for metal-insulator silicon (MIS) devices needs high breakdown voltage, low leakage current and low interface states for robust semiconductor devices. Generation of electron-hole pairs in dielectric film as the gate insulator was measured by using our developed on-wafer monitoring technique during the plasma etching processes. To detect the generation of electron-hole pairs by plasma-induced electrical current in the insulator, we developed four types of on-wafer monitoring devices. Each device has an insulator structure such as single SiO2 film, single Si3N4 film, SiO2 film stacked on Si3N4 or Si3N4 film stacked on SiO2. We found that the electron-hole pairs were generated in the insulators by the plasma-induced ultraviolet (UV) photons. We use three gas mixtures (CF4+O2, C2F4+O2 and C4F8+O2) to vary the wavelength of the emitted UV light in the plasma. The induced current depended on the UV wavelength meaning gas chemistry and the on-wafer monitoring device structures. In the SiO2 film, CF4 induced the most current of the three gas mixtures because CF4 emitted the strongest intensity of the UV light having shorter wavelength than 140 nm as the SiO2 band gap of 8.8eV. On the other hand, in the case of the Si3N4 single film, C4F8 has larger plasma induced current than other two gas mixtures. C4F8 has the most intense UV lights of shorter than 250 nm that is the band gap of Si3N4, 5.0eV. Additionally, we evaluated the plasma-induced current using multi-layer insulator devices that consisted of both SiO2 and Si3N4. The structure of Si3N4 on SiO2 drastically reduced the plasma-induced current as compare with the structure of SiO2 on Si3N4. This might be caused by the difference of the band-energy structure between them.
PS-TuP-16 Diagnostic Studies on a H2-N2 Inductively Coupled Plasma for Plasma-Assisted Atomic Layer Deposition
S.B.S. Heil, E. Langereis, R. Engeln, M.C.M. van de Sanden, W.M.M. Kessels (Eindhoven University of Technology, The Netherlands)
A new reactor has been constructed for plasma-assisted atomic layer deposition (PA-ALD) of single-element metals and metal nitrides. Besides a vapor dosing system, the reactor consists of an inductively coupled plasma in which H, N, and NHx radical species can be produced by operating the plasma on H2, N2, or NH3 and mixtures of these gases. These radicals and possibly other activated neutrals can be used for abstraction of ligands from adsorbed metal halide precursor gases such that also non-binary materials can be deposited by ALD. We have investigated H2 and N2-H2 plasmas by double Langmuir probe measurements and by optical emission spectroscopy. These measurements have been carried out for different plasma conditions and flow ratios while the OES data have been taken at different spatial positions. With OES we have observed the different atomic hydrogen lines as well as the H2 Fulcher bands and the first and second positive systems of N2. With the Langmuir probe measurements typical electron and ion densities of ~1010 cm-3 and electron temperatures within the range of 2.5-3 eV have been obtained in the downstream region of the plasma. Currently, the first PA-ALD experiments of Ti and TiN films (e.g., for Cu diffusion barriers) are being carried out using the combination of TiCl4 and H2(-N2) plasmas. The resulting film properties obtained under the different operating conditions will be compared with the results from the plasma studies.
PS-TuP-17 Estimation of the Surface Potential Generated on Semiconductor Dielectric Materials Upon Exposure to Vacuum Ultraviolet Radiation Using a Monte-Carlo Simulation1
G.S. Upadhyaya, J.L. Shohet, J.L. Lauer (University of Wisconsin-Madison)
The effect of Vacuum Ultraviolet Radiation (VUV) on dielectric materials during plasma processing is significant. During processing, charge deposited on the material due to the plasma can adversely affect device reliability. Plasma-generated VUV radiation can beneficially deplete the charge by temporarily increasing the conductivity of the dielectric.2 This effect has been attributed to photoemission and photoconduction currents generated by VUV exposure.3 This can result in a positive surface charge, which is measured using a Kelvin Probe. However, the transport of electrons and holes generated by VUV radiation inside the dielectric is not well understood. To this end, we utilize a Monte-Carlo code, which includes the different processes that an electron undergoes once it has been released from an atom by a VUV photon. The code includes Rayleigh scattering of the incident VUV photons inside the dielectric, photoelectric absorption, and elastic and inelastic scattering of photoemitted electrons. The statistical data obtained from the simulation, such as the backscattering percentage for the electrons, the absorption coefficient of the electrons inside the dielectric, and the distances traveled by the electrons and holes is used to compute the surface potential generated on the dielectric. The simulation estimate is found to be in very good agreement with the experimental measurements made using the Kelvin Probe technique. The cross sections used for the various processes in the VUV regime are based on previous work where available. The remaining cross sections are estimated from experimental measurements in which synchrotron radiation is incident on the dielectric.


1 Supported by NSF under grant DMR-0306582. The UW Synchrotron is funded by NSF under grant DMR-0084402.
2 C. Cismaru and J.L. Shohet, Appl. Phys. Lett. 76, 2191 (2000)
3 J.L. Lauer, J.L. Shohet, et. al., J. Appl. Phys. 91, 1242 (2002).

PS-TuP-18 Induced Charge during Vacuum-Ultraviolet Irradiation of Al2O3, SiO2, and Si3N41
J.L. Shohet, J.L. Lauer, R.W. Hansen, G.S. Upadhyaya, R.D. Bathke, K. Kukkady, J.M. Kalwitz (University of Wisconsin-Madison)
Plasma damage, contamination, and thermal budget are key concerns in the microelectronics industry. In particular, dielectric charging plays a key role in processing damage of semiconductor devices. During the last decade, plasma-induced damage research has mainly focused on the role of charged particles in the plasma with little or no consideration being given to photon bombardment. VUV radiation with energies in the range of 4-30 eV can induce charge on electronic materials. Radiation charging of Si wafers coated with 3000A of Al2O3, SiO2, and Si3N4 from synchrotron VUV exposure with photon fluxes in the range of 109-1013 photons/sec cm-2 was measured. The total charge induced on the dielectrics during VUV exposure, which can be measured with a Kelvin Probe, consists of charge due to photoemission and electron-hole pair creation. The photoemission current and substrate voltage were monitored during each exposure for various bias voltages. For photon energies of 7-21 eV, the integral of photoemission current was compared to the net charge measured with the Kelvin probe which allows us to separate the charging affects of photoemission from that of electron-hole pair creation within the dielectric. Since the threshold photon energy for photoemission is higher than that for electron-hole pair production, it is seen that photoemission can be minimized if the photon energies are below the threshold energy. This produced the possibility to reduce dielectric charging, especially that induced by electron-shading effects during plasma etching of high aspect-ratio devices, by providing a safe way to discharge these structures and, thus, minimize plasma-charging damage. The enhanced conductivity may benefit etching properties such as reduction of notching, sidewall bowing, and trenching.


1This work is supported by NSF under grant DMR-0306582. The UW Synchrotron workis funded by NSF under grant DMR-0084402.

PS-TuP-19 Electrical Characteristics of Linear Internal-type Inductively Coupled Plasmas Source
G.Y. Yeom, K.N. Kim, S.J. Jeong (Sungkyunkwan University, South Korea)
Inductively coupled plasma ICP sources have been studied extensively in the past ten years as candidates for advanced etch and deposition processing tools. Although ICP plasma sources have many advantages, the plasma generated is inherently non-uniform due to the antenna standing wave effect, when the plasma source is scaled to large size comparable to the driving rf wavelength. In this study, large-area plasmas with inductive coupling of extended internal linear- antennas have been proposed a promising candidate for an efficient high-density plasma source. The process chamber was designed as a rectangular mainly for 4th generation FPD application and was made of stainless steel. The inner size of the chamber was 1020mm*830mm. The characteristics of the plasmas were measured using a quadrupole mass spectrometer and a Langmuir probe located on the sidewall of the chamber. And the electrical characteristics of linear antennas were measured using an impedance probe. The results showed a strong relationship between the antenna design and plasma characteristics such as density and uniformity. Under an optimized antenna design, the uniformity of the Ar+ ion density less than 4% could be obtained while maintaining high plasma densities on the order of 2.67*1011cm-3.
PS-TuP-20 Effects of Water Vapor on Plasma Parameters in Processing Plasmas
Y. Ichikawa, M. Narita, K. Sasaki (Fuji Electric Device Technology Co., Ltd., Japan)
We have studied the effects of a trace amount of water vapor on the properties of processing plasmas by numerical analysis. In plasma processing for semiconductor applications such as sputtering and plasma CVD, oxygen is easily incorporated in the deposited films or in the ambience of plasma and seriously influences the properties of films and the performance of semiconductor devices. The principal source of oxygen is water vapor emitted from the wall of reaction chambers. Thus it is very important to understand the behavior of water vapor in processing plasmas. With a view to understanding the effect of water vapor on the processing plasma, we made a modeling of Ar positive column plasma; Ar is most popularly used for plasma processing in all the rare gases. The analytical method employed here is based on a positive column theory of Ichikawa and Teii.1 In the modeling, we took into account 6 ion species, Ar+, Ar2+, H2O+, H3O+, OH+, H+ and meta-stable Ar. The reaction scheme among these species is very complicated, but assuming a small amount of H2O in Ar, we can simplify the reaction system. The obtained results show that the abundance ratios of H2O+ and H3O+ become comparable to that of Ar+ even if a very small amount of H2O, e.g. less than 1%, is added in Ar; these H2O related ions increase with increasing gas pressure and chamber size. We will present the details of ion-molecule reactions used in the modeling for the Ar-H2O plasma and numerical results.


1Y. Ichikawa and S.Teii, J. Phys. D, 13, 2031 (1980).

PS-TuP-21 Evaluation of Germicidal Effect by Plasma Sterilization System in Air
J.H. Choi, H.K. Baik, J.C. Park, D.W. Han (Yonsei University, Korea)
Atmospheric pressure(AP) plasmas can sterilize almost all kinds of bacteria because many germicidal species, such as atomic oxygen, hydroxyl radical and ozone etc. are generated during AP plasmas. So AP plasmas are proper process for application to air cleaner or sterilizer. The aim of this paper is to evaluate a germicidal effect by plasma sterilization system in air. For this experiment, we modified the inhalation exposure system used for experiment to infect animal. By the nebulizer in our plasma sterilization system, aerosol attached to bacteria in culture media was generated, and therefore we could make a proper process to evaluate the germicidal effect of air cleaner including AP plasma system. We made 3 types of plasma reactor and these are dielectric barrier discharge, surface barrier discharge and pack-bed discharge type. We used alumina and copper for dielectric and electrode material respectively. And in many sorts of bacteria, e-coli, pseudomonas aeruginosa and bacillus subtillus were used for this sterilization experiment. For analysis of the relationship between sterilization results and chemical species generated in discharge, we used optical emission spectroscopy (OES) and we checked emission spectra by atomic oxygen (394.2nm and 436.8nm) and O2+ ion (631.4nm). From these results, we concluded that our AP system is very effective to evaluate germicidal effect.
PS-TuP-22 Extending the "Winters and Coburn Method"1 to Plasma Propellant Interactions
R. Valliere, R. Blumenthal (Auburn University)
There has been a significant interest in the use of plasmas to ignite propellants, specifically for large bore artillery. A short, reproducible ignition delay and a reduced temperature dependence are the most important advantages of plasma ignition over conventional ignition. The fundamental interactions of plasma and the propellant have been investigated using the experimental modeling method, pioneered by Winters and Coburn,1 that is responsible for our current understanding of the etching of semiconductors. The erosion rates of sprayed-on films of RDX and HMX have been measured in inert and reactive plasmas, with both negative and positive sample biases in order to select ion and electron bombardments of the propellant surface. No significant erosion rate was observed in argon plasmas with zero or any positive applied bias, indicating that erosion by electron bombardment alone is not important. Under large negative bias, ion bombardment conditions, a small erosion rate was observed. The minimum of erosion rate found for all positive and zero sample biases in hydrogen plasmas was 100x the erosion rate of the negatively-biased argon plasmas. Above a threshold of approximately -250V DC bias, the erosion rate in the hydrogen plasmas increases by another order of magnitude. The fact that the etch rates in the hydrogen plasmas are all much greater than the sputter rate of the argon plasmas (observed at large negative bias) indicates that the process in hydrogen plasmas is chemically enhanced and has strong synergistic effects. Detailed results of the individual roles and synergistic interactions of ions, H radicals and electrons with the propellant surface will be presented.


1H.F. Winters and J.W. Coburn, J. Vac. Sci. Technol, B 3(5), 1376 (1985).

PS-TuP-25 The Fate of Nitrogen in Plasma Polymerization Revealed by NEXAFS
A.G. Shard, S.L. McArthur (University of Sheffield, UK); J.D. Whittle (Plasso Technology, UK); A.J. Beck, R.A. Talib, N.A. Bullett, P.N. Brookes (University of Sheffield, UK)
The determination of plasma polymer chemistry is often rather difficult due to the large numbers of candidate functional groups. Conventional analytical methods such as X-ray photoelectron spectroscopy (XPS) and infrared (IR) spectroscopy have limitations, particularly with regard to nitrogen containing plasma polymers. In these polymers there are potentially a number of functional groups which cannot be distinguished from amines by XPS such as aromatic amines, pyridinic structures, imines and nitriles. With IR spectroscopy there are also difficulties in identifying some of these species, coupled to a lack of quantification. The presence of such groups may impair the effectiveness of plasma polymerized amines in their ability to interact electrostatically and to be available for imine coupling reactions so their detection is a matter of some importance. Near edge X-ray absorption fine structure (NEXAFS) can easily distinguish the presence of the unsaturated species mentioned above. We have applied this technique to a range of nitrogen containing plasma polymers and show that the ultimate fate of nitrogen, particularly at high powers, is to produce nitrile groups. We have studied saturated and unsaturated amine and amide containing monomers and a copolymerisation of allylamine and acrylic acid. This study has implications for potential new uses of such materials and indicates that the long term oxygen incorporation into aminated plasma polymers may be due to hydrolysis of imine and nitrile groups.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2004 Schedule