AVS2004 Session DI-MoP: Poster Session

Monday, November 15, 2004 5:00 PM in Room Exhibit Hall B

Monday Afternoon

Time Period MoP Sessions | Topic DI Sessions | Time Periods | Topics | AVS2004 Schedule

DI-MoP-1 Surface Preparation for Atomic Layer Deposition of High-K Oxides on Silicon Studied by XPS and SPM
J.M. Sturm, A.I. Zinine, H. Wormeester, R.G. Bankras, J. Holleman, J. Schmitz, B. Poelsema (University of Twente, The Netherlands)
Atomic Layer Deposition (ALD) is regarded as a suitable deposition technique for high-K metal oxides. In order to obtain a good interface quality with the silicon substrate, preparation of the starting surface is of major importance. The initial stage of Al2O3 deposition from trimethylaluminium and water and growth of thin films (~ 5 nm) were studied by XPS and SPM techniques. XPS was used to determine the growth rate and chemical state of the interface and deposited film as a function of wet-chemical pre-treatment of the starting surface and the pulse time of precursor and purge pulses. Ambient AFM showed that the deposited films are microscopically rough, with a correlation length of typically 20 nm and without correlation on larger length scales. UHV STM was used to investigate the stability of a hydrogen terminated Si surface in vacuum at typical growth temperatures of 250-300 °C used in ALD growth. Starting surfaces with a high RMS roughness of about 0.6 nm resulting from a concentrated HF dip did not change significantly by annealing at pressures below 5x10-10 mbar. However, annealing in a higher background pressure of 3x10-8 mbar (mainly H2O) as typically present in a deposition set-up was found to result in a decrease of the surface roughness. These results indicate the importance of in-situ preparation of the starting surface and characterization of its chemical stability.
DI-MoP-2 Orientation Selective Epitaxy of CeO2 Thin Films on Si(100) Substrates by Magnetron Reactive Sputtering Enhanced by Oxygen Radical Beams with Substrate Bias
T. Inoue, M.O. Ohashi, N. Sakamoto, S. Shida (Iwaki Meisei University, Japan); T.W. Chiu, K. Yamabe (University of Tsukuba, Japan)
It is found that the epitaxial CeO2(100) and CeO2(110) layers are able to be selectively grown using reactive dc magnetron sputtering enhanced with an inductively coupled rf plasma (helicon sputtering) by controlling substrate bias and plasma power.2 Although many reports have been made on the growth of CeO2(110)/Si(100), recently CeO2(100) layers have been reported to grow on atomically cleaned Si(100) surfaces with a 2x1 reconstructed structure in an ultra-high vacuum. Our method has superiority in the requirement of only practical H-terminated surfaces obtained by the usual wet cleaning process. Adopting two step growth method; ultrathin metallic Ce layer deposition at room temperature using Ce metal target followed by silicidation process at several hundreds degree C, and subsequent reactive sputtering in an Ar/O2 mixture environment at elevated temperature, the CeO2(100) layer epitaxy is attained applying adequate substrate bias whereas CeO2(110) layers are grown without substrate bias. Helicon sputtering is performed at rf power of 50 W for helicon chathode coil, 120 W for helicon chathode dc plasma power and substrate bias ranging between -20 and +50 V. Growth rate is controlled in between 0.2 and 0.4 nm/s varying Ar gas flow between 4 and 15 sccm. Oxygen gas flow for reactive sputtering is 1 sccm. The orientation selection is found to be also dependent on plasma power, in other words the growth rate: upper limit in growth rate exists for (100) layer growth, beyond which (110) layer grow. Precise mapping of growth paramters, in terms of substrate bias and growth rate, for the growth of CeO2(100) films are attained from a lot of growth experiments. In order to improve crystalline quality and to lower the epitaxial temperature, we have started to develop a novel reactive sputtering method employing oxygen free radical beams. The oxygen radical source is operated typically under the conditions; applied rf power of 50 W and O2 gas flow of 1 sccm, whereas the intensity of free radical beams is varied controlling rf power and oxygen gas flow. We demonstrate the experimentsl results indicating its effectiveness; successful epitaxial temperature lowering and crystalline quality improvements. Chracterization of the epitaxial films are carried out using RHEED, 4-circle XRD, XTEM and AFM, including C/V and I/V measurements for getting fundamental electrical properties. This orientation selective epitaxial growth technology will be useful for device applications.


1
1 Present address: ULVAC-PHI Corp., 370 Enzou, Chigasaki 253-0084, Japan
2 T. Inoue et al., J. Vac. Sci. Tehcnol. A 22(1), 46 (2004).

DI-MoP-3 Characteristics of MoxSiy Gate Electrodes for Advanced CMOS Applications
P. Sivasubramani, P. Zhao, I.S. Jeon (University of Texas at Dallas); J. Lee, J. Kim (Kookmin University, Korea); M. Kim, B.E. Gnade, R.M. Wallace (University of Texas at Dallas)
Metal gates have been investigated to overcome several challenging issues such as poly-depletion and B penetration for conventional poly-Si gates. Recently, the dual metal gate approach has attracted attention to improve CMOS performance, as opposed to mid-gap metals, such as TiN and TaN. For CMOS integration, tunable metals may be suitable for metal gate applications. Alloying and implantation are being considered as possible techniques to tune the metal gate workfunction. In particular, silicidation is an acceptable process for Si IC fabrication. In this study, we investigated the characteristics of the Mo-Si alloy system for dual metal gate applications. Mo has been demonstrated as a possible P-MOS gate metal because of its high work function and good thermal stability. In this presentation we focus on the tunability of work function, thermal stability and electrical characteristics of Mo silicide as a function of Si concentration. Mo silicide has three different stable silicide phases, Mo3Si, Mo5Si3 and MoSi2. Mo silicide samples were prepared on SiO2 on Si wafers. The samples were annealed by RTA for 15sec. up to 1000°C. We observed metal work function tuning of at least 0.5V by changing the composition. We also found a possible thermal instability issue of MoSi2, even at room temperature. The dependence of characteristics on composition will be discussed based on XPS, XRD, RBS, TEM, CV and IV results. This work is partially supported by the TATP (Texas Advanced Technology Program) and COSAR (Collaborate Project for Excellence in Basic System IC Technology-Korea).
DI-MoP-4 TiN/Ta2O5/PE-SiN/TiN MIM Capacitor for RF and Mixed Signal IC Applications
Y.S. Chung, K.S. Kim, Y.S. Ryu, S.B. Hwang, C.-S. Shin, S.-G. Park, J.K. Lee (Hynix Semiconductor Inc., Korea)
Ta2O5 / PE-SiN MIM capacitors with high capacitance density of 4.7 fF/µm 2 for mixed-signal / RF applications were originally integrated by adopting 120Å-thick-PE-SiN as a barrier layer between bottom electrode and 80-Å-thick MOCVD Ta2O5 layer. Inserting PE-SiN layer resulted in dramatic reduction of leakage current at -3.3 V from 8.0E-5 A/cm2 with only Ta2O5 film to 9.3E-8 A/cm2 with Ta2O5/PE-SiN layers. We attribute the huge reduction in leakage current for the laminated structure that the PE-SiN layer provides high barrier height as well as a physical diffusion barrier against oxygen into the bottom electrode. These laminated MIM capacitors also showed good linearities of capacitance with 302 ppm/V2, 125 ppm/V, and 30.7 ppm/°C as well as an excellent matching property with 0.79 % µm. Breakdown voltage of capacitor was about 6 MV/cm and the life time (time-to-breakdown) of Ta2O5/PE-SiN MIM capacitor at 3.7 V and 25°C was 198000 years. Quality factor of 55 and capacitance density of 5.08 fF/µm 2 at 2.4GHz were obtained for 10X10 µm 2 MIM capacitor.
DI-MoP-5 The Physical and Electrical Characteristics of p+-Polycrystalline-Si and Si1-xGex(x=0.27)/High-k Gate Dielectric (AlN and Al2O3) Films
C. Lee, J.Y. Park, C.H. Hwang, H.J. Kim (Seoul National University, Korea)
The polycrystalline (poly) Si1-xGex(x=0.27) gate has been also investigated as a substitute of poly-Si gate, which seems not to be suitable for new high-k dielectrics and gives rise to troubles due to poly-depletion effect (PDE) and boron penetration. We investigated the compatibility between the poly-Si0.73Ge0.27 gate and high-k gate dielectrics in terms of the boron and germanium diffusion behaviors and electrical characteristics of stack structures, which were prepared with or without a capping or bottom AlN layer on high-k Al2O3 of MOS capacitors. The metal-oxide-semiconductor (MOS) capacitors characterized in this experiment include various high-k gate dielectric stacks such as p+-poly-Si gate/ Al2O3/n-type Si, p+-poly-Si0.73Ge0.27 gate/Al2O3, AlN- Al2O3, or AlN-Al2O3-AlN/n-type Si. High-k dielectrics like Al2O3 and AlN films were deposited on n-type Si (100) wafers with a resistivity of 4~8 Ωcm by atomic layer deposition (ALD) technique using Al(CH3)3, O3, and NH3 at 400°C after RCA SC1 and diluted HF cleaning. The physical thickness of the AlN films was controlled very thin (~ 0.5 nm). Post deposition annealing (PDA) of the samples was performed with rapid thermal annealing (RTA) at 800°C in N2 for 30 seconds. Post-metallization annealing (PMA) was performed at 400°C for 30 min under a 5% H2 + 95% N2 atmosphere. Capacitance equivalent thickness (CET) decreased by approximately 30 % for the p+-poly-Si0.73Ge0.27 gate compared to the p+-poly-Si gate with the Al2O3 films at the same physical thickness, which resulted from the improved PDE. Leakage current density of MOS capacitors with AlN barrier layers shows a lower value compared to that of the single Al2O3 film due to the enhanced boron blocking properties.
DI-MoP-6 Structure Properties and Thermal Stability of Plasma Oxynitrided Hf and Zr Thin Films
Yi-Sheng Lai, L.-M. Chen, C.-H. Lu, J.S. Chen (National Cheng Kung University, Taiwan)
Thermal stability is a critical issue in replacing conventional SiO2 gate dielectrics with high-κ materials. The interlayer (IL) growth as well as the interface reaction is of particularly concern in this respect. Growth of the low-dielectric-constant IL usually increases equivalent oxide thickness (EOT) of the high-κ/IL structure, leading to the applicability in the downscaling of the electronic devices. Accordingly, engineering of the interface becomes a challenging issue in fabricating high-κ gate dielectrics. In this work, we study the characteristics of plasma oxynitrided Hf and Zr thin films. A 50-Å thick Zr or Hf metal film is first deposited on the Si surface and followed by plasma oxynitridation on these metal films in a mixture of N2O+NH3 ambient. Incorporation of O and N leads to the formation of ZrOxNy and HfOxNy films. The increased nitrogen content is found to increase the onset of the crystallization temperature in both ZrOxNy and HfOxNy films. Growth of the IL examined from the X-ray photoelectron spectroscopy is also dependent on the incorporated nitrogen content. The thermal stability related to the difference of nitrogen content between ZrOxNy and HfOxNy films is also addressed.
DI-MoP-7 Valence Band Offsets and Interface Structure of HfXsi1-Xo2 Films on Si(111) from Photoemission Spectroscopy
L. Fleming (North Carolina State University); M.D. Ulrich (Army Research Office); C. Hinkle, J.G. Hong (North Carolina State University); J.E. Rowe (University of North Carolina); G. Lucovsky (North Carolina State University); A.S.-Y. Chan, T.E. Madey (Rutgers University)
We have used synchrotron radiation to perform high resolution soft x-ray photoemission spectroscopy measurements on device-quality Si(111)/HfxSi1-xO2 films. Our samples included both thick (~ 75 Å) and thin (~ 10 Å) silicate films. In addition, we grew SiO2/HfO2/SiO2 layered films for interface studies. All samples were grown by remote plasma enhanced chemical vapor deposition at a temperature of 300 °C using hafnium tert-butoxide and silane in a helium carrier gas. After growth, thin film silicate samples were heated by rapid thermal annealing at 500 °C for 30 s, while the thick film silicates and layered structures were annealed at temperatures between 500 and 900 °C. Si 2p and Hf 4f core levels were studied along with valence band spectra using photon energies of 70, 100, 130, 150 and 170 eV. Core-level binding energies exhibit a linear dependence with alloy composition in the thick silicate films, and are expected to provide some information about the interfacial structure and relaxation behavior of the layered oxide films. We have used several photoemission methods for obtaining the band offset parameter as a function of the Hf/Si composition including edge extrapolation and core-level shift data. The linear edge approximation yields a valence band offset of ~ 2.9 ± 0.1 eV for an ultrathin film of HfSiO4. This value agrees with estimates of 3.0 - 3.1 eV based on unpublished tunneling data. Valence band offsets for other compositions will be presented based on data from thick oxide films.
DI-MoP-8 Dry Etching of HfO2 Films by Inductively Coupled Plasma
S.-K. Yang, H.-Y. Song, S.-G. Lee, B.-H. O, I.-H. Lee, C.-W. Kim, S.-G. Park (Inha University, South Korea)
Not only deposition of high quality high-k dielectric layer but its dry etching is very important for fabrication of complete gate stack structure. In this study, 2000Å thick HfO2 films are deposited on Si wafers for etching experiments by reactive sputtering and annealed in oxygen. Dry etching of HfO2 is investigated in Cl2, SF6, HCl or Ar based ICP plasma. Etching characteristics are investigated in terms of RF powers, chamber pressures and gas compositions. It is found that physical sputtering effect enhances the formation of volatile hafnium halides. Single etching recipe is successfully used to pattern multiple layers of Pt/HfO2/Si structure.
DI-MoP-9 Structural and Optical Properties of Erbium-doped Ba0.7√sub 0.3TiO3 Thin Films
S.Y. Kuo (National Science Council, Taiwan); W.F. Hsieh (National Chiao Tung University, Taiwan)
The Er-doped Ba0.7√sub 0.3TiO3 (BST:Er) thin films prepared by sol-gel technique have been investigated by means of x-ray diffraction (XRD), Raman, C-V, and photoluminescence (PL) measurements. While the sintering temperature is increased from 600°C to 700°C, the peaks of the XRD patterns become sharper and more intense, indicating better crystallinity and larger grain size. On the other hand, the crystallinity becomes worse as a result of phase separation and charge compensation mechanism when sintering temperature is above 700°C. We have shown that the addition of Er-dopant does not reduce the dielectric property of BST thin films in C-V measurement. Excitation-dependent PL studies indicate that these emission peaks do not shift with the change in excitation power, whele the integrated intensity increases exponentially with the increase in excitation power. Additionally, green emission intensities of the BST:Er films increase as the Er doping concentration increases from 1 to 3 mol%, and then quench due to the presence of clusters as doping concentration exceed 3 mol%. Besides, the improvement of the crystallinity of BST:Er films will result in the luminescence enhancement as well. These experimental results indicated that the BST:Er thin films might be a potential candidate for optoelectronics devices.
DI-MoP-10 Comparison of Forming Gas Effects on the Ferroelectric Properties Between More-oriented and Less-oriented Pb(Zr0.53Ti0.47)O3 Thin Films
E.S. Lee, H.W. Chung, S.H. Lim (Yonsei University, Korea)
More-oriented and less-oriented Pb(Zr0.53Ti0.47)O3(PZT) thin films were deposited by pulsed laser deposition method on (Pb0.72La0.28)Ti0.93O3 buffer and Pt/Ti/SiO2/Si substrate, respectively, which were observed by XRD patterns. These films were annealed in H2-contained ambient for 30 minutes at the substrate temperature of 400 °C to evaluate the forming gas annealing effects. The comparative studies on the ferroelectric properties of these two films were carried out, which are shown that the degradation rate of the more-oriented film is lower than that of less-oriented film. These results have proven that well oriented structures can prohibit the diffusion of the hydrogen into the film.
DI-MoP-11 Effect of Pb(Zr0.52Ti0.48)O3 Buffer Layers on the Fatigue Resistance of Pb(Zr,Ti)O3-Pb(Mn,W,Sb,Nb)O3 Thin Films
S.Y. Lee, S.H. Lim, E.S. Lee, H.W. Chung (Yonsei University, Korea)
The effect of a Pb(Zr0.52Ti0.48)O3 (PZT) buffer layer on a perovskite Pb(Zr,Ti)O3-Pb(Mn,W,Sb,Nb)O3 (PMWSN) thin film deposited on a Pt/Ti/SiO2/Si substrate was examined. The film having stoichiometric PMWSN composition was deposited directly on the Pt/Ti/SiO2/Si substrate by pulsed laser deposition. While as-grown PZT-PMWSN thin films have poor fatigue resistance, PZT-PMWSN thin films with PZT buffer exhibit good fatigue resistance. The insertion of PZT buffer layer contributes to enhance the crystallinity of PZT-PMWSN and it can protect to diffuse the accumulated charges at the interfaces between electrodes and the films.
DI-MoP-12 Comparison of Ferroelectric Properties Between PZT (Pb(Zr,Ti)O3) -PMWSN (Pb(Mn,W,Sb,Nb)O3) Thin Film and PZT Thin Film
C.S. Jeon, E.S. Lee, H.W. Chung, S.Y. Lee (Yonsei University, Korea)
Pb(Zr,Ti)OPb(Zr,Ti)O3-Pb(Mn,W,Sb,Nb)O3 (PZT-PMWSN) targets were fabricated using typical bulk ceramic processes. Thin films were deposited on Pt/Ti/SiO2/Si substrate by pulsed laser deposition. Structural characteristics were measured by XRD (X-ray diffraction). Ferroelectric properties of thin films were investigated by P-E and C-V measurements to define hysteresis loops and dielectric constants. Results of PZT-PMWSN thin films were compared with those of PZT thin films. Leakage current of PZT-PMWSN thin film was higher than that of PZT film and crystallization was less oriented than that of PZT film. But Pr (remanant polarization) and dielectric constant showed higher values than those of PZT film.
Time Period MoP Sessions | Topic DI Sessions | Time Periods | Topics | AVS2004 Schedule