AVS1999 Session MS+PS-FrM: Diagnostics and Processes in Etching

Friday, October 29, 1999 8:20 AM in Room 611

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic NS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
8:20 AM MS+PS-FrM-1 Effect of CH3F/C4F8 Ratio on the SiO2-to-Si3N4 Selectivity in a Self-Aligned-Contact Etching Process for Giga-bit DRAM
S.C. Park, J.S. Kim, J.J. Lee, K.T. Kim, D.D. Lee, Y.S. Seol, I.H. Choi (Hyundai Electronics Industries Co. Ltd., Korea)
Effect of CH3F/C4F8 ratio on the SiO2-to-Si3N4 selectivity in a self-aligned-contact(SAC) oxide etching process was investigated using an inductively coupled plasma. As published in other studies, the SiO2-to-Si3N4 selectivity usually increases as the CH3F/C4F8 ratio increases.1 However, we found out in this work that the selectivity gradually increases to a specific peak and sharply drops as the CH3F/C4F8 ratio continuously increases. Moreover, the selectivity was extremely poor at the valleys between the word lines (and in some cases, the nitride layer was even 'punched through'), while the selectivity was very high at the top shoulder of word line at a certain CH3F/C4F8 ratio. It was found from the XPS and SEM analysis that the fluorocarbon film was built up without any bonding state change, as the CH3F/C4F8 ratio increased. The increase in the selectivity with increasing CH3F/C4F8 ratio should be related with the amount of the fluorocarbon polymer deposition on the surface of Si3N4 barrier.2 However, the analysis of the fluorocarbon films could not completely explain the sharp drop in the selectivity and the extremely low selectivity at the valley between the word lines. Further studies showed that step coverage of the polymer film formed during the SAC oxide etching was very poor as the contact size decreased and the CH3F/C4F8 ratio increased. In this case, less amount of the fluorocarbon gases should enter the contact hole and less amount of fluorocarbon polymer should be deposited on the Si3N4 etch barrier. This will eventually result in the sudden drop in the selectivity.


1
1 Y. Iijima and H. Okano, Jpn. J. Appl. Phys,
2Vol. 36, 5498 (1997)
3
2 N.R. Rueger and G.S. Oehrlein, J. Vac. Sci.
4Technol. A 15, 1881 (1997

8:40 AM MS+PS-FrM-2 Plasma Cleaning of Via Bottoms Following Dielectric Etching
P.J. Matsuo, M. Schaepkens, G.S. Oehrlein (State University of New York at Albany)
In plasma etching, aspect ratio effects have been well documented. However,the dependence of the necessary cleaning steps following the etch on feature geometry have not. Cleaning via bottoms following the dielectric etch step can be critical to achieving low resistance contacts in multi-layer metallization schemes. Reducing this resistance is a prerequisite for the proper electrical function of Cu/low-k dielectric wiring architecture. We have examined in-situ surface modifications of post-etch blanket surfaces of several materials (Si, Cu, TiN, Al), resulting from Ar and O2 cleaning treatments, using ellipsometry and XPS. We also investigated the removal efficiency of fluorocarbon and oxide residues at via bottoms for realistic aspect ratio structures by measuring the removal rate of fluorocarbon films or oxide films during O2 plasma and Ar sputter cleaning, respectively. Ion driven cleaning procedures such as oxide removal, do not show a significant dependence on feature aspect ratio. On blanket films, to vias with an aspect ratio of 3, Ar sputter rates of BPSG remain constant. The removal of fluorocarbon residues under high density O2 plasma exposure is heavily dependent on the neutral flux and is reduced in accordance with geometrical shadowing offset by the constant ion component. We have also investigated the surface chemistry of the residues as a function of feature geometry and cleaning process parameters.
9:00 AM MS+PS-FrM-3 Molecular Dynamics Simulations of Fluorocarbon Films
J. Tanaka (Hitachi, Ltd., Japan); C.F. Abrams, D.B. Graves (University of California, Berkeley)
Fluorocarbon plasma processes are used for highly selective etch processes of SiO2 with respect to Si, SiN or photoresist. During fluorocarbon plasma etching, it is known that fluorocarbon films form on the latter surfaces, protecting them from active etch species such as F atoms. Even on actively etched surfaces of SiO2, thin fluorocarbon films have been detected. During etching, the fluorocarbon films are an active participant, and in order to optimize etch processes, understanding these films is important. However, the structure and mechanisms of fluorocarbon film formation are not well understood. The nature of fluorocarbon film structure and its role in etching depend on the neutral and ionic species that impact it from the plasma, as well as the underlying material. We have chosen to use molecular dynamics of fluorocarbon ions impacting a carbon surface as a first step in understanding this complex process. In order to use molecular dynamics, we have developed a new C-F intermolecular potential. Our potential was developed based on the reactive empirical bond order (REBO) potential, using a strategy originally developed for carbon-hydrogen interactions. (Brenner, Phys. Rev. B, Vol.42, pp.9458) Initially, we calculated the argon ion sputtering yield of carbon at 100eV, 300eV and 500eV. For this low energy region, TRIM simulator significantly underestimated the sputtering yields while the yields calculated by the MD simulation agreed well with experimental results. Next, we simulated CF, CF2, and CF3 ion impacts at 100eV and normal incidence onto an amorphous carbon surface. In all cases, the initial process was net fluorocarbon film deposition at low fluences. For CF2 and CF3 ions, the fluorocarbon film reached a steady state thickness after several hundred ion impacts. In this talk, we will present the simulated film composition profile and the species that chemically and physically sputter from the surface as a function of ion fluence for each of the three ions simulated. In addition, the angular dependence of both film composition profile and sputtering characteristics will be presented.
9:20 AM MS+PS-FrM-4 Plasma-Induced Roughening of Resist
S. Halle, W.H. Yan, W. Moreau (IBM Microelectronics); J. Wittmann, A. Gutmann (Infineon Technologies)
A severe etch-induced line edge roughness of the resist pattern transfer during dielectric mask open reactive ion plasma processes is increasingly becoming a major issue in semiconductor processing as resist stacks shrink below 700 nm with sub 200 nm lithography. The resulting patterned features are observed to have serrated or "scallop-like" sidewall surfaces which are typically translated from the remaining resist / ARC layer to the dielectric layers and into the silicon, in both device contact-type and active area line space features. The origin of this effect, which results in a roughening of the silicon sidewalls and may severely compromise the patterned feature integrity, is poorly understood. In this study, we show that etch process conditions which produce "scallop-like" distortions are associated with a high degree of resist surface roughness as observed by SEM and measured by AFM. The roughening of a blanket resist surface exposed to reactive ion plasma etching is associated with a large "grain" size in the xy plane and a high "pitting" frequency in the z (depth) axis. The pitting frequency on blanket wafers is found to be qualitatively equivalent to the line edge roughness on patterned features. The extent of roughness is found to be highly sensitive to changes in etch process conditions such as bias voltage, chamber pressure, and gas flow constituents. The resist surface roughness, is examined under the following process conditions: argon sputtering only, fluorocarbon etching with low polymerization, fluorocarbon etching with a higher degree of polymerization. The contribution of the sputter component is found to be dominant; however, polymerizing fluorocarbon chemistry can also modulate the roughness. A qualitative model to explain the formation of the "scallop-like" features will be discussed.
9:40 AM MS+PS-FrM-5 Measurement of Residual Fluorine in a Polysilicon Etch Reactor with Fourier Transform Infrared Spectroscopy (FTIR)
J.E. Daugherty, E. Edelberg, V. Vahedi, A. Perry, J. Huang, R. Marsh (Lam Research Corporation)
One challenge in sub-0.18 µm gate etching is maintaining the integrity of the thin (<25 Å) gate oxide. This task is especially difficult for in situ hardmask applications where a dielectric (SiO2 or Si3N4) hardmask is etched with a fluorine-containing gas (e.g., CF4) in the same chamber that the underlying polysilicon gate is etched with Cl2 and HBr. Fluorinated molecules can be released from in-chamber etch residues for several minutes after the fluorine-containing etchant is turned off, and free fluorine is produced when the plasma subsequently dissociates these compounds. If there is sufficient fluorine remaining in the chamber after the polysilicon is etched, it can reduce the selectivity to the underlying gate oxide. A similar reduction of the gate oxide selectivity is often noticed immediately following a dry clean of the etching chamber (i.e., a plasma clean). Since the chamber is cleaned with SF6 or NF3 plasma, residual fluorine from the cleaning plasma sometimes reduces the amount of remaining gate oxide on the first wafer processed after the clean. We have used Fourier transform infrared spectroscopy (FTIR) to measure the concentration of several fluorine-containing etch products (e.g., SiF4, HF) during gate etching with Cl2/HBr in an inductively coupled plasma reactor. We have verified that the etch rate of thermal SiO2 in Cl2/HBr mixtures increases with increasing concentration of residual fluorinated etch products in the effluent of the reactor. We also observe that for in situ hardmask etching, the amount of fluorine-containing etch product that is observed at the end of the polysilicon etch step depends on the duration of fluorine exposure during the hardmask etch step.
10:00 AM MS+PS-FrM-6 Effect of W Reaction Byproducts on W/poly-Si Stack Gate Etching Process
H. Morioka, M. Nakaishi, N. Abe (Fujitsu Limited, Japan)
W/(barrier layer)/poly Si stack is one of the most promising candidate for gate electrode structure of memory-embedded logic LSIs and DRAMs in the next generation because of low sheet resistance and compatibility with self-aligned contact (SAC) process, etc. Generally, the chemistry of W/poly Si stack gate etching is halogen-base, and most of W etching chemistry have higher etch rate of poly-Si than that of W itself. Although oxygen addition can increase the selectivity to poly Si above 1 by inhibiting Si etching, some troubles are still observed during poly Si etching step, such as non-uniform enhancement of etch rate, undercut profile, and serious RIE-lag. These facts make it difficult to achieve W/poly Si stack gate etching against very thin gate oxide. So, we examined the effect of W reaction byproducts on etching characteristics of other layers. Our experiments were performed on a high-density plasma (HDP) etcher whose plasma source could be operated in continuous mode or pulse modulated mode. We also compared fluorine-base chemistry with chlorine-base one to investigate the difference of etching byproducts. In this experiment, we found that W etching byproducts from a sample wafer and chamber wall enhanced the etch rate of poly-Si and SiO2 especially for chlorine-base chemistry, and pulse modulation of plasma could reduce this enhancement. These facts suggest that W etching byproducts were decomposed into fragments in the plasma, these species were deposited on the sample surface and varied the etching characteristics. It is probable that pulse modulation reduced the dissociation of W reaction byproducts because of low electron temperature during afterglow.
10:20 AM MS+PS-FrM-7 Vacuum- and Near-Ultraviolet Spectra of Plasma Etching Discharges
J.R. Woodworth, T.W. Hamilton, B.P. Aragon (Sandia National Laboratories)
We are measuring the absolute intensities of the Vacuum- and Near- UV emission spectra ( 24 eV to 4 eV ) in metal etch and oxide etch plasmas in an inductively-driven Gaseous Electronics Conference reference cell. These spectra are of interest both because UV radiation may damage the circuits being processed and changes in the spectra may be used for process control. Spectra are being taken both in a cell with stainless steel electrodes and in a cell whose electrodes are covered with aluminum oxide, quartz and silicon to better simulate a commercial etch tool. In metal etch discharges containing mixtures of Cl2, BCl3, Ar, and N2, the vacuum ultraviolet spectrum above 8.8 eV is dominated by atomic Cl lines and Ar lines between 9 and 12 eV. Very little energy is emitted between 12 and 24 eV. The near ultraviolet spectra from 8.8 to 4 eV are dominated by B, BCl, Cl2, and etch products such as Si. Details of the experiments, effects of biasing the wafer, absolute line intensities and the effect of radiation trapping on the discharges will be discussed. This work was supported by the United States Department of Energy under Contract DE-AC04-94-AL85000. Sandia is a multiprogram laboratory operated by the Sandia Corporation, a Lockheed Martin Company, for the United States Government
10:40 AM MS+PS-FrM-8 Etching of Organic Low Dielectric Constant Materials on the Lam Research 4520XLE
C. Janowiak, S.L. Ellingboe, J. Flanner, I. Morey (Lam Research Corporation)
The low dielectric constant (k) of the polymeric materials SiLK and BCB have made them an attractive possible alternative to silicon dioxide as an intermetal dielectric in IC circuits. The lower dielectric constant is desirable to reduce capacitance and RC delay for higher chip speed, less cross talk, and lower power consumption. The forming of via and trench patterns in these low-k materials using a dry etch chemistry was investigated. Because SiLK and BCB is a polymeric material, oxygen is used as the primary etch gas, nitrogen as a dilulent and a hydrocarbon for sidewall passivation. Typically the organic low-k etch has a selectivity of low-k:PR ~1:1. Depending on the film structure, the PR can clear during the low-k etch, exposing the oxide hardmask for the latter portion of the etch. In the 4520XLE, this corresponds to a change in the etch process from a chemically dominated etch to an ion-dominated etch. Consequently, the plasma chemistry changes upon PR clearing and TEOS exposure, which can result in changes to etch rate, etch rate uniformity, and etch rate profile. Simulations of the SiLK etch results will be shown along with process results. The effects of process parameters such as RF power and oxygen-hydrocarbon ratio on etch performance will be discussed.
Time Period FrM Sessions | Abstract Timeline | Topic NS Sessions | Time Periods | Topics | AVS1999 Schedule