AVS1997 Session PS2-WeM: Oxide Etching: Diagnostics and Tools

Wednesday, October 22, 1997 8:20 AM in Room A5/6

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
8:20 AM PS2-WeM-1 SiO2 Etching in Magnetic Neutral Loop Discharge (NLD) Plasma
W. Chen, M. Itoh, T. Hayashi, T. Uchida (ULVAC Japan Ltd.)
NLD plasma, which has attractive characteristics in both plasma production and spatial controllability, was successfully applied in large-wafer etching process, yielding a satisfied uniformity. The plasma source is composed of a quartz tube, a single-turn antenna, and three electromagnetic coils to generate magnetic NL. The rf power of 13.56MHz was supplied to the antenna. Frequency of an rf power supplied to a substrate electrode was also 13.56MHz. Comparison of the etching characteristics in the NLD plasma with those in the ICP plasma, which is defined as the magnetic coils currents are set to be zero, was made. The etching rate of SiO2 and the selectivity to photo-resist and Si in the NLD plasma were remarkably improved, comparing with those in the ICP plasma in a pressure range of 0.1 to 1Pa. In the application of SiO2 etching process using the NLD plasma, a hole pattern of a high aspect ratio, 350 nm in diameter and 2000 nm in depth, was successfully fabricated with a resist mask in a mixture of Ar(90%)/C4F8(10%) at 0.4 Pa. The selectivity to Si at the hole bottom was higher than 30. The etched profile was almost vertical (89-90 degrees) and the variation of etching rate for SiO2 was within 3% on 200mm wafer.
8:40 AM PS2-WeM-2 Magnetic Field Optimization in a Dielectric M.E.R.I.E. Reactor to Produce an Instantaneously Uniform Plasma and Development of a Diagnostic to Measure the Plasma Uniformity for High Cathode Powers
R.A. Lindley, C.H. Bjorkman, H. Shan, K.-H. Ke, R. Mett, K. Doan, M. Welch (Applied Materials, Inc.)
The effect of magnetic field on plasma uniformity was investigated for a capacitively coupled plasma in a dielectric etch chamber, and a tool to measure the DC bias and ion flux uniformity across the high-powered cathode (e.g. 1200W) was developed. At that power, the DC bias can be as high as 1400V. A 5% variation in the DC bias across the cathode can cause a 70V potential drop across the wafer, which may in turn cause degredation or breakdown of gate oxide structures. The primary effect of the magnetic field on uniformity is through the ExB drift force which, because of the strong electric field at the cathode surface at high cathode powers, dominates the other magnetic field effects on the plasma. A uniformly strong magnetic field across the wafer causes the electrons to pile-up on one side of the wafer. However, a nonuniform magnetic field across the cathode produces a more uniform plasma. The degree of magnetic field nonuniformity to produce an optimized plasma uniformity depends upon the strength of the magnetic field, the cathode power, the plasma chemistry, and the pressure. A tool was developed to measure the DC bias and ion flux uniformity across the cathode at high cathode powers. DC bias measurements show that the plasma nonuniformity can be optimized by adjusting the gradient of the magnetic field across the cathode over a wide range of process pressures (50mT to 250mT), cathode powers (750W to 1100W), and magnetic field strengths (10G to 90G) for a fluorocarbon and argon chemistry. Finally, the data analysis method used to improve S/N is discussed.
9:00 AM PS2-WeM-3 X-ray Photoelectron Spectroscopy Analyses of High Aspect Ratio SiO2 Contact Holes Processed in Industrial Plasma Etching Systems
O. Joubert, P. Czuprynski (France Telecom CNET, France); F. Vinet, P. Berruyer (CEA-LETI, France)
High-aspect ratio sub-half-micron contacts were etched in SiO2 using 1) a high-density C2F6 plasma generated by an inductively coupled, low pressure reactor and 2) a magnetically enhanced reactive ion etching (MERIE) plasma based on a C4F8/CO/O2/Ar chemistry. Process development studies have shown that high aspect ratio contact holes can be open in thick dielectric layers with a good selectivity to the underlying silicon using both etchers. XPS studies have allowed a complete chemical topography analysis of high aspect ratio SiO2 contact holes after etching. Using appropriate charging conditions with low energy electrons, and angle resolved experiments, a complete separation of the XPS peaks originating from the resist mask and from the bottoms and sidewalls of contact holes was possible. XPS analyses have shown that in the low pressure high density plasma source, the fluorination of the polymer on the bottom of contact holes strongly increased with the aspect ratio of the hole and that the fluorination of polymers deposited on the contact hole sidewalls was even more important. The F/C ratio (which is an estimation of the polymer fluorination) increased from 0.15 in open area to 0.46 at the bottom of 0.5 mm contact holes. The polymer deposited on the sidewalls was even more fluorinated (the F/C ratio measured was 0.56). No SiO2 was detected on the sidewall of the contact hole showing that the polymer was thicker than the thickness probed by XPS (estimated to be 7 nm at an analysis angle of 45#161#). XPS analyses have also revealed that a silicon dioxide layer thicker than 10 nm (thickness probed by XPS at an analysis angle of 0#161#) was formed on the silicon surface. As there was no oxygen in the feed gas stock, the oxygen source was attributed to sputtering of the quartz liner. XPS analyses were also conducted in high aspect ratio contact holes etched in the MERIE reactor. Contary to the high density plasma source, the chemical composition and thickness of the polymer deposited on the silicon surface at the bottom of contact holes was independent on the contact size (F/C was between 0.15 and 0.22). The Si 2p XPS spectra also revealed that a thin SiOxFy layer was formed on the silicon surface. The chemical composition of this layer was found to dependend on the contact size (the oxygen concentration increases and fluorine concentration decreases when the aspect ratio of the contact hole increases). XPS spectra also show that the full width at half maximum (FHWM) of the Si 2p doublet measured at the bottom of contact holes strongly increases showing that the highly energetic ion bombardment induced by the plasma operating conditions of the MERIE source lead to a severe amorphisation of the silicon surface. This work has been carried out within the GRESSI consortium between CEA-LETI and France Telecom-CNET.
9:20 AM PS2-WeM-4 Effects of the Gas Inlet Position on the Plasma Chemistry and the SiO2 Etching Characteristics in a Helicon Plasma Etcher
J.H. Kim, Y.T. Kim, J.S. Kim, K.W. Whang (Seoul National University, Korea); J.H. Joo (Kunsan National University, Korea)
The helicon plasma sources are widely studied for the application to the etching and the CVD processes. In this study, the effects of the gas inlet position on the SiO2 etch characteristics is examined in a helicon plasma etcher. The dissociation and ionization rate of the gas might change with the gas inlet position because of the spatially non-uniform RF power deposition. We examined the spatial variation of the power deposition with the optical emission spectroscopy. Based on these understanding, we tried to control the plasma chemistry for the SiO2 etching process with the position of the gas inlet. The C4F8 gas was fed from two different locations. The radicals such as CF, CF2 were more abundant when the gas was introduced from the side of the chamber than from the top of the chamber. Owing to the differences of the plasma chemistry, the SiO2 etch rate was 700nm/min and the selectivity over poly-silicon was 15 in the case of the side inlet. On the contrary, when the gas was introduced from the top of the chamber, the SiO2 etch rate was only 150nm/min and the selectivity was 2. It will be discussed in detail why the position of the gas inlet affects the plasma characteristics and chemistry, and how it might cause the difference of the SiO2 etch characteristics.
9:40 AM PS2-WeM-5 Dielectric Etching for 0.18µm Technologies: Comparison between MRIE and HDP Sources
P. Berruyer, F. Vinet (GRESSI-LETI, France); H. Feldis, R. Blanc (SGS-Thomson Microelectronics, France); M. Lerme (GRESSI-LETI, France); Y. Morand (SGS-Thomson Microelectronics, France)
Dielectric etching will probably be one of the most critical process for the next devices generations. Today the suppliers are able to provide different kind of reactors and the choice between Reactive Ion Etching mode and High Density Plasma source is not obvious. In addition to the plasma source the choice of the chemistry is also critical. In this paper we will compare the morphological and electrical performances of 2 plasma sources: a Magnetically enhanced RIE source (DRM from TEL) and HDP source from Applied with 2 kinds of chemistries (C4F8/CO/O2/Ar for the MRIE and C2F6 for the HDP). Etch rates, uniformities, selectivities to photoresist and underlayers, critical dimensions, profiles will be compared. Electrical performances will be quantified by measuring contact/vias resistances and yield on 10million contact/vias chains on contact and vias down to 200nm in size. Finally the trends of each plasma source with regard to process parameters will also be discussed. We will show that, intrinsically, the MRIE source can provide a higher selectivity to photoresist, while the HDP source can provide a higher etch rate. Any change in process parameters always lead to a compromise between aspect ratio performances and selectivity to underlayer with the MRIE and selectivity to photoresist with the HDP. In term of contact and vias resistance both plasma sources have the same behaviour: contact and vias resistance only depend on contact size. But in term of yield we will observe that, for the same contact size, measured by SEM after etching, the MRIE source is able to provide higher yield than the HDP source. The capability of each plasma source on new architectures (SAC and damascene) and new low k dielectrics will also be discussed.
10:00 AM PS2-WeM-6 Effect of H2 Addition on Surface Reactions During CF4/H2 Plasma Etching of Silicon and Silicon Dioxide Films
D.C. Marra, E.S. Aydil (University of California, Santa Barbara)
Plasmas composed of CF4 and H2 gases are widely used in integrated circuit manufacturing to achieve the selective etching of SiO2 over Si. In situ attenuated total reflection Fourier transform infrared (ATR-FTIR) spectroscopy and spectroscopic ellipsometry were used to investigate the nature of Si and SiO2 surfaces during exposure to CF4/H2 plasmas. A fluorocarbon overlayer forms on the surfaces of both Si and SiO2 during plasma etching, and the overlayer structure is a function of the hydrogen concentration in the discharge. Beyond a critical hydrogen concentration in the feed gas, the growth of the fluorocarbon layer is sufficient to inhibit etching of the bulk film. The selective etching of SiO2 over Si is achieved through energetic ion bombardment which induces differences in the structure of the fluorocarbon film on the oxide. In the absence of energetic ion bombardment, we show that the H concentration at which etching is arrested by fluorocarbon deposition is the same for the Si and SiO2 surfaces. Much of the previous research on CF4/H2 plasma etching focuses on H abstraction of F in the gas phase leading to the thickening of the fluorocarbon film. Our infrared data, supported by optical emission spectroscopy, show that the structure of the fluorocarbon film depends on the flux of gas phase species incident on the surface. In particular, H abstraction of F within the fluorocarbon film creates a fluorine deficient amorphous carbon-like film as evidenced by an increase in C/F ratio in the film. The amounts of C and F as well as the presence of C double bonds in the film are monitored using ATR-FTIR. We show that it is the structure of the fluorocarbon film which determines its ability to inhibit continued etching of the bulk film.
10:20 AM PS2-WeM-7 Analysis of Surface Polymers in Selective Oxide Etching
R.S. Wise, M.D. Armacost, M.L. Passow (IBM Microelectronics)
Selective, high aspect ratio oxide etching is assuming an increasingly critical role in advanced semiconductor processes as the industry moves to self-aligned contact structures and damascene metal wiring. An understanding of the gas phase and surface chemistry of these processes is important in avoiding catastrophic process fails related to excess polymerization, i.e., etch stop, while still maintaining adequate selectivity. Analysis of selective etch processes in a commercial high density oxide etch reactor is reported with different fluorocarbon gas compositions (e.g., C2F6, C3F8, C4F8). Surface characterization of the polymers formed with these processes on blanket and patterned wafers was performed using techniques such as X-ray photoelectron spectroscopy and top layer secondary ion mass spectroscopy. This data is compared with optical emission and mass spectrometric analysis to better understand the key contributors to selective Si and Si3N4 processes. Impact of reactor parametrics (e.g., gas flow, source power, bias power), resist loading, and pattern factor on polymer composition were examined. Comparison of polymer formation from different excitation sources using similar gas chemistries is also discussed.
10:40 AM PS2-WeM-8 Radical Composition of High-Density Fluorocarbon Plasmas and the Correlation with Oxide Etch Selectivity
H. Sugai, K. Nakamura, K. Segi, N. Hirakata, H. Kokura (Nagoya University, Japan)
Fluorine-based plasmas have been used to etch SiO2 on Si layers as an important fabrication step of LSI circuits. A recent trend toward ultrafine structure fabrication necessitates the use of low-pressure high-density sources such as inductively coupled plasma (ICP) and surface-wave excited plasma (SWP). The high-density sources, however, meet a serious problem of low etch selectivity of SiO2 to Si. A key parameter governing the selectivity is believed to be the ratio of fluorocarbon radical (CFx) density to fluorine atom (F) density in the plasma, since the CFx radical may interrupt the etching by depositing polymer on the Si layer. Thus, it is crucial, for the etch selectivity control, to measure the F density as well as the CFx density. Here we report absolute density measurements of F atom and CFx radical (x=1-3) based on appearance mass spectrometry (AMS), along with the correlation between the etch selectivity and the radical density ratio CFx/F. A 13.56 MHz RF power up to 1 kW is coupled to the antenna, and generates a fluorocarbon plasma in CF4 or C4F8 / H2 at a total pressure of 10 mTorr. The F density reaches about 1013 cm-3 in high-density inductively coupled plasmas in 100% CF4 or C4F8 while addition of 50% hydrogen decreases the F density by one order of magnitude. A conventional actinometry technique results in about 4 times greater reduction of F density in comparison with the AMS result. High etch selectivity of SiO2 to Si is achieved for the radical density ratio CFx/F larger than 25 (x=1-3), and in particular the ratio of CF1/F seems to have better correlation with the etch selectivity. The ionic composition of the plasma is also measured and the role of CFx+ ion in the selective etching is discussed. Moreover, similar measurements will be made in SWP (microwave plasma without magnetic field) and the results will be compared with the ICP data.
11:00 AM PS2-WeM-9 Oxide Etching in Fluorocarbon Plasmas: Surface Chemistry and ICP Reactor Simulation
J.L. Feldsien, D.J. Economou (University of Houston)
Fluorine and fluorocarbon etching plasmas have been studied extensively because of their use in selectively etching oxide (SiO2) over silicon. It is widely known that these plasmas produce unsaturated fluorocarbon radicals that may polymerize on surfaces in contact with the plasma. 1 Work remains to be done, however, in understanding the mechanisms that produce observed etch behavior. In this work, an inductively coupled plasma (ICP) oxide etcher is modeled using an enhanced version of a previously reported simulation tool, the Modular Plasma Reactor Simulator (MPRES). 2 The new version of MPRES is capable of handling spatially resolved surface chemistry reactions involving an arbitrary number of gas phase and surface species on each surface type in the reactor. Results to be presented are from a phenomenological surface kinetics model based on previously published models and developed further by the authors for C2F6 plasma chemistry. Special attention is paid to the role of surface chemistry all over the reactor (not just on the substrate) and its effect on etch behavior. Ion driven oxide etching on the substrate, which would be required for anisotropic etching, can occur at moderate bias voltages and low surface fluorination levels (2-15%) with no polymer coverage. Plasma composition was heavily modified by etching since two of five major gas phase components are etch products. Polymer deposition on unbiased and unheated surfaces is in the range 50-100 nm/min.


1G. Oehrlein, Y. Zhang, D. Vender, M. Haverlag, JVST A, 12(2), 323 (1994)
2R. Wise, D. Lymberopoulos, and D. J. Economou, Appl. Phys. Lett., 68, 2499 (1996)
3E. Meeks and J. Johannes, Sandia National Laboratories, unpublished

11:20 AM PS2-WeM-10 Application of a Rapid Two Dimensional Plasma Model to sub-0.25 µ Process Development
M.D. Armacost, R.S. Wise, M.L. Passow (IBM Microelectronics)
High density plasma sources (e.g. inductively coupled plasma) are being investigated to meet the etch rate and anisotropy demands of sub-0.25 µ devices. The physics of such sources is poorly characterized, and process development has in the past relied heavily on empiricism. Sub 0.25 µ devices require an extensive development cycle due to the increasingly stringent demands of sub-fundamental wavelength lithography, increasing aspect ratio, and packing density. As wafer size is scaled to 300 mm, empirical methods of process development become less cost efficient. In this environment numerical models become increasingly important tools to reduce both the tool design and process development cycles. We present an application of a simplified fluid plasma simulation to process development on commercial high density tools. By employing Boltzmann electrons and a collisionless sheath model, the simulation affords rapid execution time while retaining salient features of the discharge. The impact of tool parametrics (e.g. source/bias power, flow, pressure) on electronegative processing plasmas are examined. The relative flux of polymer precursors and reactive ions to the wafer surface are correlated to tendency toward etch stop and selectivity. In addition, the impact of reactor materials on the polymer precursor flux is examined.
11:40 AM PS2-WeM-11 Effect of Hydrogen in High Aspect Ratio, Small Feature Dielectric Etch
C.H. Bjorkman, H. Shan, K. Doan, J. Wang, B. Pu, M. Welch (Applied Materials, Inc.)
As feature sizes decrease, the demands of etching high aspect ratio (HAR) contact and via holes become more stringent. MERIE dielectric etch processes developed for 0.35-0.5 µm devices work well for AR < 4:1. As these processes are evaluated for smaller features and higher aspect ratios, it becomes clear that profile distortions, microloading and/or etch stop are preventing the future use of current processes. It is therefore necessary to investigate the fundamental mechanisms that are limiting these processes and to explore alternative chemistries that will extend the use of current equipment. Hydrogen was found to play an important role in limiting these processes from being extended to 0.25 and 0.18 µm technologies. While hydrogen contributes to increasing selectivity to photoresist, it negatively impacts such parameters as profile, microloading and/or etch stop. Interaction between hydrogen and CxFy species was found to strongly influence profile distortions such as kink formation and bowing. Eliminating CHxFy species enabled us to successfully etch contact and/or via holes with aspect ratios in the range 4.5 to 7:1. In particular, we have studied how hydrogen interacts with C4F8, affecting parameters such as profiles and AR-dependent microloading. We observed profile distortions and etch stop when increasing the C4F8 flow in the presence of CHF3. However no profile distortions were observed when CHF3 was replaced with C2F6.
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule