ALD/ALE 2021 Session LI-ALE-TuM3: Atomic Layer Etching Live Session I

Tuesday, June 29, 2021 9:30 AM in Room Live-3

Tuesday Morning

Session Abstract Book
(322KB, Jun 9, 2021)
Time Period TuM3 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
9:30 AM LI-ALE-TuM3-1 Welcome, Thank Yous, & Instructions
Dmitry Suyatin (Lund University, Sweden); Gottlieb Oehrlein (University of Maryland)
Welcome to the session! We hope you will enjoy the it!
9:35 AM LI-ALE-TuM3-2 Atomic Scale Profile Control in Fine Pitch Patterning and High Aspect Ratio Contact Hole Etching
Tetsuya Nishizuka, Sho Kumakura, Takayuki Katsunuma, Yoshihide Kihara, Masanobu Honda (Tokyo Electron Miyagi, Ltd.)

In recent semiconductor device manufacturing processes, high aspect ratio contact hole etch has been the most challenging one. As the aspect ratio (AR) increases along with smaller critical dimension (CD) and vertically stacked 3D device structure, satisfying both vertical profile and selectivity to mask layer is getting harder. Especially “bow” profile which is caused by side etch generated under the mask is the top issue to address. Conventional approach to mitigate those problem is optimizing etching conditions such as gas chemistry or radio frequency (RF) power in accordance with the depth. Namely that controls radical species of etch/deposition balance and ion energy. However, since the deposition in this case is a kind of PECVD, its low step coverage characteristic causes clogging at the opening of mask and lack of thickness for sidewall protection in high AR structure. An alternate approach is separating etching and deposition steps, and cycling them. In this way, ALD can be applied as the deposition step. ALD’s conformal coverage and atomic level controllability enable sufficient sidewall protection, and avoid clogging. Recently some results show a potential of excellent profile controllability which was impossible by conventional approach 1, 2. Whereas in terms of bow improvement, even ALD is not always ideal because sidewall protection is necessary at only shallow area of contact hole while the bottom area and mask opening need to keep CD as wide as possible. This time we developed more ideal coverage ALD technique by utilizing under-saturation region and optimizing gas condition in the activation step. By combining this novel sidewall protection technique and etching reaction, more flexible etching process for high AR structure is realized.

1. F. Roozeboom et.al., ECS J. Solid State Sci. Technol. 4 N5067 (2015)

2. M. Honda et.al., Proc. of SPIE Vol. 11329 (2020)

9:55 AM LI-ALE-TuM3-6 Nanoscale Cryogenic Process for Highly Selective Etch of Si3N4 Over Si
Gaelle Antoun, Thomas Tillocher, Philippe Lefaucheux (GREMI CNRS/Université d'Orléans); Aurélie Girard (IMN CNRS/Université de Nantes); Christophe Cardinaud (IMN CNRS/Université d'Orléans); Jacques Faguet (Tokyo Electron America Inc.,); Kaoru Maekawa, Du Zhang, Hojin Kim, Mingmei Wang (TEL Technology Center, America, LLC); Rémi Dussart (GREMI CNRS/Université d'Orléans)

In 1988, Tachi et al. developed a cryogenic process using SF6 plasma in order to etch Si anisotropically 1. Since, many other studies were conducted in order to understand the mechanisms involved in cryo-etching. It was shown that oxygen is needed to build a passivation layer on the trench sidewalls 2. This passivation layer can only be formed at low temperature and is desorbed once brought back to room temperature. Cryogenic processes present several advantages such as the limitation of material damage and reactor wall contamination 3.

In a previous work, we proposed an SiO2 cryo-ALE process based on the physisorption of C4F8 during the modification step. However, this process was only demonstrated for temperatures between -120°C and -90°C 4 and selectivity to Si and Si3N4 was limited.

In this work, a different approach is proposed at low temperature (between -100°C and -50°C) to perform highly selective nanoscale etching. It this process, the modification step consists in depositing in plasma phase a layer stable only at low temperature. The etching step is an Ar plasma with low ion bombardment energy.

A cryogenic ICP reactor has been used to carry out this work. An in-situ Spectroscopic Ellipsometer is coupled to this reactor in order to monitor the surface thickness evolution over time. Quasi in-situ XPS measurements have also been performed. The equipment is composed of an ICP reactor coupled to the XPS chamber. A coupon is fixed on a transfer rod that can be cooled with liquid nitrogen and biased. Therefore, once processed, the sample can be transferred to the analysis chamber while remaining at low temperature.

Tests were performed on Si3N4 and p-Si coupons glued on a SiO2 6” carrier wafers.

First, the influence of the modification step on the two materials was investigated at different temperatures. The same study was repeated to perform XPS measurements and characterize the modified surface. At room temperature, the established process is in a deposition regime for both materials. However, at low temperature, it becomes possible to switch to an etching regime. It has been evidenced that the threshold temperature for switching from deposition to etching is different for each material. Therefore, it is possible to etch Si3N4 selectively to Si at nanoscale by tuning wisely the substrate temperature.

The authors thank S. Tahara for all the helpful discussions.

This work was supported by CERTeM 2020 platform, which provides most of the equipment.

  1. Tachi et al.,Appl. Phys. Lett. 52,616–618,1988
  2. Blauw et al.,J. Vac. Sci. Technol. B 18,3453,2000
  3. Dussart et al.,J. Phys. D: Appl. Phys. 47,123001,2014
  4. Antoun et al.,Sci Rep 11,357,2021
10:10 AM LI-ALE-TuM3-9 Using Selective Surface Functionalization of SiNx to Increase SiO2 to SiNx ALE Selectivity
Ryan Gasvoda, Xue Wang (Colorado School of Mines, USA); Prabhat Kumar, Zhonghao Zhang, Eric Hudson (Lam Research Corporation); Sumit Agarwal (Colorado School of Mines, USA)

Atomic layer etching (ALE) and its derivatives can provide high etch fidelity, atomic‑scale precision, directionality, and high selectivity that is required for manufacturing of sub‑7‑nm node semiconductor devices. Specifically, ultra‑high etch selectivity for SiO2 vs. SiNx is required in the manufacturing sequence, especially in the self‑aligned contact etch approach. Plasma‑assisted ALE of SiO2 typically consists of two sequential half‑cycles: fluorocarbon (CFx) deposition from a fluorocarbon plasma followed by an Ar plasma activation step. Generally, ALE process parameters can be tuned to maximize overall SiO2 vs SiNx etch selectivity (similar to continuous etching). Recently, we have identified a novel approach to enhance the etch selectivity by selectively pre‑functionalizing the SiNx surface to protect against the etch process.

In this study, we used in situ attenuated total reflection Fourier transform infrared (ATR‑FTIR) spectroscopy in combination with in situ 4‑wavelength ellipsometry to monitor surface reactions, film composition as well as etch per cycle during ALE. Further, the ion energy distribution (IED) in the Ar plasma activation half‑cycle is characterized with a retarding field energy analyzer. We have previously demonstrated that aldehydes can be used to selectively functionalize SiNx from the gas phase without plasma, with minimal effect on SiO2. In particular, benzaldehyde selectively functionalizes only the SiNx surface with sp2‑hybridized‑carbon (see Fig. 1a and 1b). We show that the overall SiO2:SiNx etch selectivity for a target SiO2 etch of ~15 nm can be increased from ~2.1 to 4.5 simply by pre‑functionalizing the SiNx surface with benzaldehyde prior to beginning ALE (see Fig. 2a). Additionally, the infrared spectrum of the benzaldehyde functionalized SiNx surface post etch shows more graphitic hydrofluorocarbon film accumulation than the infrared spectrum of the bare SiNx surface, indicating that the added sp2‑hybridized‑carbon from benzaldehyde remains on the surface leading to a reduction in the overall etch (see Fig 2b). We will also discuss various re‑functionalization sequences in order to further maximize the overall SiO2:SiNx etch selectivity. Further, we discuss the effect of maximum ion energy and IEDs in the activation step on overall etch selectivity with specific focus on non‑ideal Ar plasma activation steps where the maximum ion energy is above the sputtering threshold of both SiO2 and SiNx.

View Supplemental Document (pdf)
10:25 AM LI-ALE-TuM3-12 Etch-stop Mechanisms in Plasma-assisted Atomic Layer Etching of Silicon Nitride: A Molecular Dynamics Study
Jomar Tercero (University of the Philippines); Akiko Hirata (Sony Semiconductor Solutions Corporation); Michiro Isobe (Osaka University, Japan); Masagana Fukasawa (Sony Semiconductor Solutions Corporation); Magdaleno Vasquez, Jr. (University of the Philippines); Satoshi Hamaguchi (Osaka University, Japan)

Plasma etching is one of the most technically demanding processes used in the fabrication of advanced semiconductor devices. Recently atomic-scale control of etching processes has become a topic of wide interest, following the urgent needs in developing manufacturing technologies for nano-meter-scale devices in the modern semiconductor industry [1-3]. To achieve atomic-scale control of etching processes, a better understanding of surface reaction mechanisms of the existing etching processes is the first key step. In earlier experiments, the etch stop in atomic layer etching (ALE) processes of silicon nitride (Si3N4) was observed after several ALE cycles [4]. The goal of this study is to find the reason for this etch stop. In a typical ALE process, a cycle of the surface modification step and the removal step of the modified layer is repeated. In the ALE process mentioned above, a hydrofluorocarbon (HFC) layer is deposited on a Si3N4 surface in the surface modification step, and then the surface is exposed to low-energy Ar+ ion bombardment in the removal step. In this study, molecular dynamics (MD) simulations were used to emulate the ALE processes. The simulations have shown that, during the removal step, the Si3N4 etching is enhanced by chemical reactions with HFC atoms but a large amount of carbon typically remains on the surface after the removal step. The carbon remnants increase the sticking probability of HFC radicals in the subsequent surface modification step and induce thicker HFC deposition, which leads to the etch stop eventually after a few cycles. The validity of the simulations was tested by comparing the simulation results with available experimental observations.

References

[1] G. S. Oehrlein, D. Metzler, and C. Li, ECS Journal of Solid State Science and Technology, 4 (6) N5041-N5053 (2015).

[2] K. J. Kanarik,T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, Journal of Vacuum Science & Technology A, 33, 020802 (2015).

[3] G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci. Technol. 27, 023001 (2018).

[4] A. Hirata, M. Fukasawa, K. Kugimiya, K. Nagaoka, K. Karahashi, S. Hamaguchi, and H. Iwamoto, Journal of Vacuum Science & Technology A, 38, 062601 (2020).

10:40 AM BREAK
10:50 AM LI-ALE-TuM3-17 Landscape of Spontaneous Etch via Ligand-Exchange in Thermal Atomic Layer Etching
Ann Lii-Rosales, Andrew Cavanagh, Steven George (University of Colorado Boulder)

Thermal atomic layer etching (ALE) utilizes sequential, self-limiting surface reactions to remove materials on the atomic level. Thermal ALE consists of two steps: (A) surface modification and (B) removal via volatilization. For metal oxide thermal ALE, surface modification usually involves fluorination using HF.1 This fluorination creates a surface layer of metal fluoride. A strategy for volatilization can use ligand-exchange reactions. The exchange of F for Cl is an effective pathway, because metal chlorides are generally more volatile than the corresponding fluorides.1 The resulting metal chloride can be thermally desorbed from the surface, leading to metal removal.

Both A and B steps are necessary for thermal ALE. However, material removal is achieved only by ligand-exchange. This renders the B step crucial for determining a metal’s propensity for etching. Hence, a pre-fluorinated surface can be used as a model surface, which assumes the form of the fluorinated metal surface. One can use ligand-exchange reactions with the model fluorinated surface as an indicator for spontaneous etch.

A new reactor was constructed to probe the ligand-exchange reaction. The reactor uses in situ quadrupole mass spectrometry (QMS) to detect gas-phase products generated during ligand-exchange. Metal fluoride powders were used as model surfaces. Precursors for ligand-exchange were flowed through the powder over a wide temperature range, while gas-phase products were monitored by QMS in real time. This new reactor is unique in that the etch products are entrained in a molecular beam that has line-of-sight to the QMS ionizer. This configuration enables direct and accurate analysis.

The landscape for ligand-exchange reactions turned out to be unexpectedly rich. By surveying a variety of systems, the landscape can be categorized as the follow: (1) Ligand-exchange and volatile etch products occur at similar temperature; (2) Ligand-exchange happens at low temperature, but etch products do not desorb until high temperature; and (3) ligand-exchange happens, but there are no etch products. Representative systems are AlF3 + Al(CH3)3 and SnF4 + TiCl4 for category (1), HfF4 + SiCl4 and InF3 + SiCl4 for category (2), and AlF3 + SiCl4 for category (3). The categories can be visualized from the intensity traces of precursor vs. etch product as a function of temperature. The new QMS reactor revealed the complexity of ligand-exchange and showed that the spontaneous etch systems can serve as predictors for thermal ALE of new materials.

1. Y. Lee, C. Huffman, S. M. George, Chem. Mat. 2016, 28 (21), 7657-7665.

11:10 AM LI-ALE-TuM3-21 Mechanisms of Self-Limiting Processes in Thermal Atomic Layer Etching of Nickel by ‏β-diketones
Abdulrahman Basher, Ikutaro Hamada, Tomoko Ito, Kazuhiro Karahashi , Satoshi Hamaguchi (Osaka University, Japan)

Thermal atomic layer etching (ALE) is one of the key manufacturing technologies for nano-scale electronic devices [1] as it can be used for precise and damageless etching of metals. The mechanisms of thermal ALE of Ni with hfacH were examined in detail in previous studies [2-4]. It starts with the deprotonation of hfacH molecules after oxidizing the Ni surface. By increasing the surface temperature in the range of 300 ~ 400 °C, volatile nickel complex Ni(hfac)2 and water H2O molecules are formed on the surface and remove the NiO layer. In this process, once a new metallic Ni surface appears, no further etching occurs, which ensures the self-liming nature of the thermal ALE process [3-5]. It has been known experimentally [5,6] that, when hfacH molecules are supplied to a metallic Ni surface, they decompose and form neither Ni(hfac)2 nor H2O molecules, and as a result no etching of Ni takes place. The origin of the self-limiting process in the thermal ALE of Ni with hfacH exposure was discussed in an earlier study[4]. The aim of this work is to clarify the mechanisms of decomposition of other β-diketones on a metallic Ni surface and the effect of surface roughness on the decomposition concerning thermal ALE. In this study, van der Waals inclusive [7] periodic DFT is employed to investigate the adsorption and reaction processes of β-diketones such as hfacH, tfacH, and acacH on flat and rough metallic Ni surfaces, using a STATE code [8]. It has been found that the physisorption energies of those β-diketones are almost the same while the chemisorption energy is higher for a β-diketone with a higher polarity and the van der Waals forces play a decisive role in determining the adsorption energy. The dissociation processes of β-diketones have been studied with a climbing image nudged elastic band method, which has shown the cleavage of a C-F bond of a β-diketone is more likely to take place than the deprotonation. The surface roughness can also help to form C-Ni bonds, which were also observed in experiments. In this way, our simulations have demonstrated the self-limiting nature of thermal ALE for Ni with β-diketone gases in general, which is consistent with earlier experimental observations [5,6].

[1] G. S. Oehrlein and S. Hamaguchi, Plasma Sources Sci. Technol. 27, 023001 (2018).

[2] A. H. Basher, et al., JVST A 38, 022610 (2020).

[3] A. H. Basher, et al., JVST A 38, 052602 (2020).

[4] A. H. Basher, et al., JJAP 59, 090905 (2020).

[5] T. Ito, et al., AVS 65th (2018).

[6] H. L. Nigg and R. I. Masel, JVST A 17,3477, (1999).

[7] I. Hamada, Phys. Rev. B 89, 121103 (2014).

[8] Y. Morikawa, et al, Phys. Rev. B 69, 041403 (2004).

11:25 AM LI-ALE-TuM3-24 Oxidation Influences Etch Quality in the Low-Temperature Thermal ALE of Copper
Martin McBriarty, Jared McWilliams, Mansour Moinpour, Ravi Kanjolia, Karl Littau (EMD Electronics)

Low-temperature thermal atomic layer etching (ALE) of copper is an appealing approach to controlled isotropic removal of Cu for emerging middle- and back-end-of-line (MOL and BEOL) steps in semiconductor device manufacturing. Cu ALE can proceed by controlled oxidation of the Cu surface followed by the volatilization of copper oxide. The isotropic removal of Cu with minimal surface roughening is critical for process integration, and a low-temperature process is necessary to avoid migration of highly mobile Cu to adjacent parts of the device or structure of interest. We investigated the plasma-free low-temperature oxidation of physical vapor deposited (PVD) Cu thin films by various oxidizing agents, as well as the subsequent volatilization of surface copper oxide by the beta-diketone hexafluoroacetylacetone (Hhfac). Depending on the oxidant and process conditions, we measure the removal of <0.5 Å to >5.0 Å of Cu per ALE cycle. We determine the influence of oxidation chemistry and kinetics on the etch behavior, surface chemistry, and film morphology, guiding the development of rapid, atomically precise, and selective low-temperature ALE processes for Cu.

11:40 AM LI-ALE-TuM3-27 Thermal Atomic Layer Etching of Al2O3 and AlN Using HF or XeF2 for Fluorination and BCl3 for Ligand-Exchange
Austin Cano, Steven George (University of Colorado at Boulder)

Thermal atomic layer etching (ALE) of Al2O3 and AlN can be performed using HF or XeF2 as the fluorination reactant and BCl3 as the ligand-exchange reactant. To investigate the etching mechanism, in-situ FTIR spectroscopy was used to identify the surface species and in-situ spectroscopic ellipsometry was employed to measure the etch rates. Quadrupole mass spectrometry was also employed to identify the volatile etch products. The Al2O3 studies were performed using Al2O3 ALD films grown using trimethylaluminum (TMA) and water as the reactants. The AlN investigations were conducted using crystalline AlN to explore the etch rates. The FTIR experiments employed AlN films grown by ALD using tris(dimethylamido)aluminum and ammonia as the reactants.

When BCl3 is used as a reactant, the ALE mechanism could occur by BCl3 conversion of Al2O3 to B2O3 or AlN to BN. Alternatively, BCl3 could undergo ligand-exchange with the fluorinated AlF3 surface. For thermal Al2O3 ALE, FTIR studies revealed strong evidence for a conversion reaction during the initial BCl3 exposure on Al2O3. The original Al-O vibrational modes were converted to B-O vibrational modes by the initial BCl3 exposures as shown in Figure 1. However, after the first ALE cycle using sequential HF and BCl3 exposures, there was no more evidence of conversion and the Al2O3 etching proceeded through a fluorination and ligand-exchange mechanism. Quadrupole mass spectrometry measurements observed that BCl3 exposures to AlF3 yielded BCl2F and AlCl3 reaction products. In situ ellipsometry measurements determined that the Al2O3 ALE etch rate using HF and BCl3 as the reactants varied with temperature from 0.01 Å/cycle at 270°C to 0.19 Å/cycle at 330°C.

In-situ FTIR and spectroscopic ellipsometry were also used to explore thermal AlN ALE. FTIR studies revealed that the initial BCl3 exposures created a thin BN layer on the surface. Subsequent HF and BCl3 exposures were consistent with AlN fluorination by HF and subsequent F/Cl exchange to form volatile AlCl3 product during BCl3 exposures. The Al-N vibrational stretch decreased progressively versus number of HF/BCl3 cycles as displayed in Figure 2. HF was not able to fluorinate single crystalline AlN substrates. Consequently, XeF2 was required as a stronger fluorination source. When combining viscous flow XeF2 exposures with static BCl3 exposures, ellipsometry measurements showed that AlN etching occurred at temperatures above 220°C. An etch rate of 0.81 Å/cycle was obtained at 255°C. In contrast, growth of a top layer that may be composed of AlFxCly was observed at lower temperatures. This top layer could be removed by long static BCl3 exposures.

View Supplemental Document (pdf)
11:55 AM LI-ALE-TuM3-30 Closing Remarks & Thank Yous
Gottlieb Oehrlein (University of Maryland); Dmitry Suyatin (Lund University, Sweden)
Thank you for attending today's session. We will see you tomorrow!
Session Abstract Book
(322KB, Jun 9, 2021)
Time Period TuM3 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule