ALD2019 Session ALE-SuP: Atomic Layer Etching Poster Session

Sunday, July 21, 2019 6:00 PM in Evergreen Ballroom & Foyer

Sunday Evening

Session Abstract Book
(352KB, May 5, 2020)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule

ALE-SuP-1 Mechanistic Thermal Desorption Studies of Thermal Dry Etching Reactions for Cobalt and Iron Thin Films
Mahsa Konh, Andrew Teplyakov (University of Delaware)

Atomic layer etching of cobalt and iron has a number of important applications. The mechanisms of thermal dry etching of thin films of these metals were investigated using temperature programmed desorption (TPD) to understand surface chemistry involved in each reaction step. X-ray photoelectron spectroscopy (XPS) and microscopic investigations were used to characterize the surfaces obtained as a result of the etching process. Diketones, such as 1,1,1,5,5,5-hexafluoro-2,4-pentanedione (hfacH) and 2,4-pentanedione (acacH), were tested as etchants. It was determined that in order for the volatile etching products to be formed, the films had to be oxidized or chlorinated, since clean surfaces resulted in decomposition of the diketonates. The oxidized surfaces were shown to evolve volatile transition metal-containing products at temperatures much higher compared to those on surfaces pre-exposed to Cl2. However, the mechanism of the etching process appeared to be more complicated on chlorine-exposed surfaces. For example, a number of products of a general formula of Co(hfac)xCly were followed for hfacH reaction with cobalt films, and Co3+ was shown to participate in the process.

ALE-SuP-2 Mechanistic Study of the Thermal Atomic Layer Etch of Tungsten Metal Using O2 and WCl6
Suresh Kondati Natarajan, Michael Nolan (Tyndall National Institute, Ireland); Patrick Theofanis, Charles Mokhtarzadeh, Scott B. Clendenning (Intel Corp.)

In semiconductor devices, the low electrical resistivity of tungsten coupled with its high resistance to electromigration have driven its use in contacts between transistor source/drains and higher layer interconnects. However, due to the diminishing dimensions of such devices, the need for precision controlled monolayer etch processes have become a necessity so as to enable current and future device architectures. Accordingly, Atomic Layer Etch (ALE) functions as a complementary process technique to well-established Atomic Layer Deposition (ALD) methodologies, such that sequential self-limiting etch processes can be targeted with the desired monolayer control for ultra-thin film material removal. Recently, thermal ALE processes for W have been reported by Parsons and co-workers1,2 in which the W is first oxidized by a pulse of O2 or O3 gas and then the oxidized material is subsequently removed via gas phase pulses of WF6 or WCl6.

Herein, we present a first principles based computational analysis of this thermal ALE process for W metal using an oxidation step followed by introduction of WCl6 as a co-reactant. We have investigated oxidants for the first pulse in the ALE sequence including O2, N2O and H2O2. It is shown that bulk oxidation of W is not spontaneous, but coverage of the W surface is dependent and subject to thermodynamic barriers of approximately 2 eV. The energetics associated with the removal of possible volatile etch species in the second ALE step such as WOCl4 and WO2Cl2 will be presented. Additionally, the use of Cl2 gas as an alternative to WCl6 in the second ALE step has also been explored. A full reaction mechanism for these thermal atomic layer etch processes will be discussed.

1. Xie, W., Lemaire, P. C., Parsons, G. N. Thermally Driven Self-Limiting Atomic Layer Etching of Metallic Tungsten Using WF6 and O2. ACS Appl. Mater. & Interfaces, 2018, 10, 9147–9154.

2. Xie, W., Lemaire, P., Parsons, G.N. Self-Limiting Thermal Atomic Layer Etching of Tungsten Metal Using O2 Oxidation and WCl6 or WF6: Role of Halogen Species in Temperature Dependence of ALE Reaction Rate. AVS ALE Workshop, 2018, Incheon, South Korea.

ALE-SuP-3 Using Etching of the Atomic Layer to Remove Damaged Layers Obtained by Plasma-Chemical Etching with Subsequent Growth of GaAs Quantum Dots by the Method of Droplet Epitaxy
Victor Klimin, Alexey Rezvan, Oleg Ageev (Southern Federal University, Russia)

The task of controlled synthesis of semiconductor self-organizing nanostructures - quantum dots, filamentous nanocrystals, metallic nanodroplets - is extremely important, first of all, to create effective sources of single and entangled photons - the basis of quantum cryptography systems, as well as functional elements based on filamentary nanocrystals, single quantum dots and / or their complexes with a given topology, on the basis of which cellular automata, memory elements, integrated photonics and functional blocks quantum computing systems [1-3].

At the same time, drip epitaxy, based on separate deposition of components of groups III and V, allows not only to significantly expand the range of structures formed (quantum dots, rings, disks and complex, hybrid structures based on them), but also to realize independent control of the density and size of quantum dots, as well as to use for their creation virtually any A3B5 system, which is inaccessible to techniques based on the Stranski – Krastanov mechanism.

The use of structured GaAs and Si substrates and the features of droplet epitaxy techniques will effectively localize epitaxial growth at given points on the surface, thereby ensuring precise positioning and control of the parameters of synthesized nanostructures — metal nanoscale droplets (catalytic centers) and quantum dots based on them (in the case of GaAs).

The structuring of the substrates was carried out by a combination of methods of focused ion beams and plasma-chemical etching. However, after obtaining substrates with nanoscale relief, a broken layer was formed on the surface in the depressions caused by plasma and penetration of Ga ions after exposure to focused beams. To remove damaged layers, the best method is layer-by-layer etching of the GaAs surface [4].

To determine the penetration depth of gallium ions, a simulation was carried out and it was revealed that after treatment in chlorine plasma, 12 atomic disturbed layers remain, which were later removed using the atomic layer etching method. When using the “soft” etching mode, the angle of inclination of the nanoscale structures changed and the growth of quantum dots did not occur.

At the end of the experimental studies, samples were obtained with nanoscale surface profiling, a combination of methods of focused ion beams, plasma chemical etching, atomic layer etching, in which GsAs quantum dots were obtained by dropping epitaxy.

This work was carried out as part of a study conducted in the framework of the projects of the Russian Science Foundation No. 15-19-10006.
ALE-SuP-4 Atomic Layer Etching of Silicon Using a Conventional ICP Etch Chamber for Failure Analysis Applications
John Mudrick, Randy Shul, K. Douglas Greth, Ronald Goeke, David Adams (Sandia National Laboratories)

Silicon removal with true atomic fidelity has been shown to require fine control of reactant species concentrations, ion energies, and chamber conditioning. Such fine control of these process parameters is not straightforward to achieve, nor to verify, on legacy process equipment. This work highlights progress toward achieving atomic layer etching (ALE) of silicon wafers and packaged die in standard Cl2/Ar plasma chemistry for failure analysis applications. Wafer-scale etch experiments show an etch rate decrease to below 1 nm/cycle with decreasing substrate temperature and Cl2 surface modification step time, however the etch rate increases with the number of etch cycles due to insufficient control over Cl2 reactant supply; this is especially problematic for silicon removal depths above a few hundred nm, required for failure analysis application. We will use time-resolved optical emission spectroscopy to demonstrate best-case reactant control in this system and suggest methods for achieving ALE-like etching. Furthermore, we observe that the D.C. voltage bias generated during the surface modification and sputter steps is significantly above target values during the first few seconds after plasma ignition for both steps. We have developed multi-step ignition schemes for both stages to ensure smooth transitions where the voltage bias remains very near zero during the Cl2 plasma generation step and below the sputter threshold of the modified surface layer(s) during the sputter desorption step. For packaged die processing, we show that silicon removal is strongly dependent on both the carrier substrate type as well as die mounting scheme. Using the best developed method, we will present cross-section microscope images showing back-side handle silicon removal to within a few hundred nanometers of the still-functional active device area.

Sandia National Laboratories is a multimission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC, a wholly owned subsidiary of Honeywell International Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under contract DE-NA0003525.This paper describes objective technical results and analysis. Any subjective views or opinions that might beexpressed in the paper do not necessarily represent the views of the U.S. Department of Energy or the United States Government.

ALE-SuP-5 Study of the Chemical Fabrication Process of NSOM Probes and the Modification of its Surface for Sensing Applications
Muhammad Nazmul Hussain, Jorg Woehl (University of Wisconsin-Milwaukee)

Near-field scanning optical microscopy (NSOM) provides us with eyes for the nanoworld by combining the potentials of scanning probe technology with the power of optical microscopy. To acquire optical images beyond the diffraction limit, NSOM probes require a sub-wavelength optical aperture with wide cone angle of the probe for efficiently channeling the illumination light to the tip apex. Between the two NSOM aperture probe fabrication methods, chemical etching creates tips with wider cone angles of the probe. To determine the mechanism of probe formation and optimize the cone angle with the chemical etching method, different etching times were studied. Additionally, the NSOM probe surface was modified with different fluorescent compounds for high-resolution, fluorescence-based chemical sensing applications.

ALE-SuP-6 A Mechanistic Study of the HF Pulse in the Thermal Atomic Layer Etch of HfO2 and ZrO2
Rita Mullins, Suresh Kondati Natarajan, Michael Nolan (Tyndall National Institute, Ireland)
Thermal atomic layer etching (ALE) of HfO2 and ZrO2 uses sequential and self-limiting fluorination reactions using HF as the reactant. This modern approach for ALE is the reverse of atomic layer deposition (ALD) and leads to isotropic etching that removes the modified layer. Each cycle of thermal ALE consists of two precursor pulses. In the first pulse the precursor reacts with the surface atoms of the substrate material and forms a stable and non-volatile layer, this surface modification is self-limiting in nature. We present a first principles study of the hydrogen fluoride pulse in the first step in thermal atomic layer etch of monoclinic hafnium dioxide and zirconium dioxide using density functional theory (DFT) calculations. HF molecules adsorb on the surfaces of these metal oxides by forming hydrogen bonds and may remain intact or dissociate to form, Hf-F and O-H for hafnium dioxide and Zr-F and O-H for zirconium dioxide. The adsorption of one HF molecule at the bare surface of both metal oxides results in dissociative adsorption at all binding sites. The adsorbed H atom can migrate to other O sites on the bare surface depending on energetic barriers. For multiple HF adsorption at coverages ranging from 1/16 to 1 monolayer we find mixed molecular and dissociative adsorption of HF molecules at the bare surfaces. The energetic barriers involved for the formation of H2O from the HF pulse are estimated using the CI-NEB method.
ALE-SuP-7 Atomic Precision Processing of Aluminum Mirrors for Enhanced Ultra-violet Optical Properties
Scott Walton, Alex Kozen (U.S. Naval Research Laboratory); Javier del Hoyo, Manuel Quijada (NASA Goddard Space Flight Center); David Boris (U.S. Naval Research Laboratory)
Astronomical measurements in the Far Ultra-violet (FUV, 90-200 nm) require the use of aluminum thin films due to aluminum’s high reflectivity over this wavelength range. Unfortunately, the native aluminum oxide layer formed in atmosphere is strongly absorbing in this wavelength range, requiring that the aluminum films be passivated with a dielectric that inhibits oxidation. Due to the fast oxidation of aluminum, a simultaneous etch and deposition process is desirable to both eliminate the native aluminum oxide after growth and replace it with a different passivation coating layer. Optical measurements in the FUV range are some of the most challenging due to limited selection of low reflectivity coatings available for use on aluminum thin films. Typically magnesium fluoride (MgF2) or lithium fluoride (LiF) coatings are used for these passivation purposes but each has its problems. MgF2 has an absorption cutoff at 115 nm occluding a critical part of the FUV spectrum. LiF has a lower absorption cutoff at 102.5 nm, but is hygroscopic and thus susceptible to degradation in ambient conditions. A promising alternative to these coating materials is AlF3, which theoretically can provide reflectivity greater than 50% down to 100 nm if the coating is sufficiently thin. In this work, we explore the use of electron beam generated plasmas to simultaneously etch the native oxide layer from aluminum thin films while depositing an AlF3 capping layer to passivate the aluminum reflector. XPS measurements indicate that this approach is cable of producing very thin (<5 nm) AlF3 films with some mild oxygen contamination. We will discuss the impact of plasma power, chemistry, and time on the composition and structure of the passivating layer and its subsequent optical properties. This work is supported by the Naval Research Laboratory base program and NASA Strategic Astrophysics Technology (SAT) grant No. NNH177ZDA001N.
ALE-SuP-8 Surface Reaction Analysis for Atomic-Layer Etching and Deposition by Means of Beam Experiments
Kazuhiro Karahashi, Tomoko Ito, Satoshi Hamaguchi (Osaka University, Japan)

As the sizes of semiconductor devices continue to diminish, atomically controlled damage-less selective etching processes are absolutely crucial for the fabrication of such devices. Ligand-exchange processes of organic compounds deposited on metal surfaces, and low-energy ion or cluster beam processes are candidates for such highly selective precise etching processes. For the control of these processes, it is important to understand and control surface reactions of organic compounds and low-energy ions/clusters. Molecular beam experiments provide an understanding of the dynamics and kinetics of chemical interactions of gas molecules with solid surfaces. In this study, a new surface-reaction analysis system with molecular beams has been developed for the analyses of etching reactions. The system has differentially-pumped beam sources for low-energy ions, thermal-molecular and metastable radicals/clusters that independently irradiate the sample surface set in an ultra-high-vacuum (UHV) chamber. To study surface reactions, we detected the scattered species and desorbed products with a differentially pumped quadrupole mass spectrometer (QMS) and measured adsorbed chemical states on the surface during various beam irradiation by X-ray photoelectron spectroscopy (XPS). The QMS provided time-resolved measurements and could be synchronized with an ion or molecular beam. The system can experimentally simulate an atomically controlled process such as atomic layer etching (ALE) or atomic layer deposition (ALD). Also presented as sample experimental data obtained in this system are desorbed species, and surface chemical states during beam irradiation of transition metal (Ni, Cu etc.) surfaces with halogen and organic molecules (such as diketone).

ALE-SuP-9 Atomic Layer Etching of SiO2 and Si3N4 with Fluorocarbon, Hydrofluorocarbon and Fluoroether Compounds
Heeyeop Chae, Yongjae Kim, Taehwan Cha, Yegeun Cho (Sungkyunkwan University (SKKU), Republic of Korea)

Nanometer and angstrom scale etching is getting more critical as the critical dimension of semiconductor devices shrinks down to 10nm. Atomic layer etching (ALE) processes are being developed and studied to control etch depth in nanoscale and atomic scale by limiting the amounts of chemical reactants available on the surface with self-limited reactions. [1] With cyclic ALE processes, low surface roughness, high uniformity and high selectivity can be achieved with low damage to devices. [2-3]

In this work, cyclic plasma etching for SiO2 and Si3N4 was developed and characterized with surface modification in an inductively coupled plasma (ICP) reactor with fluorocarbon, hydrofluorocarbon, and fluoro-ether plasmas. The process consists of two steps of surface modification and removal step. In the first step, thin fluoro- or hydrofluro-carbon layers are deposited on SiO2 and Si3N4 surface with fluorocarbon, hydrofluorocarbon, and fluoroether plasmas. In the second step, the modified layers are removed with ions or radicals generated from Ar or O2 plasmas. At the bias voltage increased, the incomplete etched region, the self-limiting etched region, and the sputtering region appeared, and lower etching rate was obtained using O2 plasma than Ar plasma. Etching rate were compared at various conditions of reaction gases and plasma power and the rate could be controlled under 10 Å/cycle. Etching rate dependences are investigated on ion energy, etching time, FC film deposition, and precursor selection. Self-limited etching rate was obtained and higher selectivities of SiO2/Si and Si3N4/Si etch rate were obtained with fluoroether.

References

[1] C. Li, D. Metzler, C. S. Lai, E. A. Hudson, and G. S. Oehrlein, J. Vac. Sci. Technol., A, 34, 041307 (2016)

[2] Y. Ishii, K. Okuma, T. Saldana, K. Maeda, N. Negishi, and J. Manos, Jpn. J. Appl. Phys., 56, 06HB07 (2017)

[3] K. Koh, Y. Kim, C.Kim, and H. Chae, J. Vac. Sci. Technol. A, 36, 01B106 (2018)

ALE-SuP-10 Cyclic Etching of Copper Thin Films using Two Sequential Steps
Eun Tack Lim, Jae Sang Choi, Jin Su Ryu, Moon Hwan Cha, Chee Won Chung (Inha University, Republic of Korea)

Copper has been used as the interconnects in the semiconductor memory devices because it has many advantages such as low resistance and low diffusivity. In addition, the electromigration phenomenon which causes wire deformation and breakage occurs less on copper [1]. Currently, copper has been etched through a damascene process because direct dry etching process has not been developed. However, the damascene process reveals some limitations in achieving fine patterns of several nanometers [2]. To solve this issue regarding the damascene process, the intense studies on copper patterning are being performed using conventional dry etching.Cyclic etching, as another approach to etch the copper films, can be a prospective etching technique. Cyclic etching including surface modification and its removal can provide the good etching performance of copper films by effectively inducing surface reaction and precisely controlling the etch depth. These results are attributed to the nature of self-limiting process and the removal of the film by layer-by-layer. There are possible various gas combinations in cyclic etching of copper films. In this study, cyclic etching with two sequential steps of surface modification and ion bombardment was performed. The surface modification and etch depth (etch rate) of copper film were confirmed using surface profilometer, scanning probe microscopy, and field emission scanning electron microscopy (FESEM) as a function of various parameters such as the time of surface modification (plasma exposure) and the bombardment energy of ions. Besides, the resultant etch profile and etch mechanism of copper film in the cyclic etching have been investigated by FESEM, X-ray photoelectron spectroscopy, and Raman spectroscopy.

Acknowledgments This research was supported by the MOTIE(Ministry of Trade, Industry & Energy (10080450) and KSRC(Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device.

References[1] A. Strandjord, S. Popelar, C. Jauernig, Microelectron. Reliab. 42, 265–283(2002) [2] H. Helneder, H. Ko¨rner, A. Mitchell, M. Schwerd, U. Seidel, Microelectron. Eng. 55, 257–268 (2001)

View Supplemental Document (pdf)
ALE-SuP-11 Analysis of Mechanisms Involved in Cryogenic ALE
Thomas Tillocher, Gaëlle Antoun, Philippe Lefaucheux, Rémi Dussart (GREMI Université d'Orléans/CNRS, France); Kumiko Yamazaki, Koichi Yatsuda (Tokyo Electron Limited, Japan); Jacques Faguet, Kaoru Maekawa (TEL Technology Center, America, LLC)

Atomic Layer Etching (ALE) has been developed almost 40 years ago, but has gained interest these last years for micro and nanoelectronic processes where high precision patterning is required. ALE consists in a sequential process relying on the self-limited adsorption of precursor radicals on the first monolayer(s) of material to be etched. Then, under a low energy ion bombardment, the etch products form and desorb until the adsorbed layer is depleted. This self-limited reaction removes a few monolayers. By repeating the cycle, the material is etched a few monolayers by a few monolayers.

ALE of SiO2 has been achieved with a 3-step process and reported in the literature [1]. The first step is an Ar/C4F8 plasma without any ion bombardment. The oxide surface is then coated with a very thin fluorocarbon layer. The second step is a pure Ar plasma still with no RF self-bias voltage where C4F8 is pumped out of the chamber. The third and final step is an Ar plasma with about 10V RF self-bias voltage. The ion energy must be kept below the sputtering threshold. If the thickness of the FC layer is accurately controlled, the etch step can be self-limited.

Although quasi-ALE of SiO2 has been demonstrated with this process, fluorocarbon contamination of chamber walls is an issue. This affects the reproducibility of ALE processes and hence chamber cleaning is required. Cryogenic Atomic Layer Etching (Cryo-ALE) is proposed as a potential solution. In this new process, the substrate is cooled to very low temperature by liquid nitrogen. The plasma phase deposition step is replaced by a physisorption step consisting in exposing the cooled substrate to a fluorocarbon gas flow. Under such conditions, species are adsorbed only at the cooled surface and hence wall pollution is mainly suppressed. Cryo-ALE of SiO2 has been shown to be effective at -120°C. The details regarding the overall process are presented in G. Antoun’s abstract.

If the temperatures is raised of a few degrees (for instance to -110°C), no etching is observed since C4F8 does not significantly physisorb at such temperature. Therefore, understanding physisorption conditions as well as activation of etching using a physisorbed layer is relevant for a better control of the process. Desorption mass spectrometry experiments will be fully presented and discussed in this paper.

[1] Metzler et al., J. Vac. Sci. Technol. A 32(2), 020603-1 2014

Acknowlegment: The authors thank S. Tahara from Tokyo Electron Miyagi for helpful discussions.

ALE-SuP-12 Study on Dry Etching Characteristics of Germanium Oxide by Atomic Layer Deposition
Donghyuk Shin, Ji-eun Jeong, Heungseop Song, Heungsoo Park, Dae-Hong Ko (Yonsei University, Republic of Korea)

While the Si-based electronics whose basis materials consisting of silicon substrate and silicon dioxide, have played a leading role in the semiconductor industry, germanium has also been receiving steady attention as a new channel material for its high carrier mobility. In particular, the studies on germanium oxide films of which the most are discussing mainly the Ge oxidation process on Ge substrates, have been conducted for several decades. However, the formation of GeO2 film through the oxidation process restricts its own potential for utilization because it is only applicable on Ge substrates. Oxidation process conducted on the SiGe layer causes Ge condensation at its interface where Ge atoms remain nonbonded to oxygen due to the less negative Gibbs free energy to form the GeO2 compared to SiO2. In this context, the growth of GeO2 film by the atomic layer deposition (ALD) enables its utilization to be enlarged even for use on Si substrate. For example, a literature examined the applicability of ALD GeO2 film on Si substrate as a secure memory device employing its unusual film property of dissolving in water. However, the GeO2 film inevitably exhibits unstable nature under the wet etching process, which means that the fundamental study on the GeO2 film needs further explorations including dry etch characteristics.

In this talk, we discuss the growth and characterizations of GeO2 film by ALD process. Film properties of ALD GeO2 were evaluated using High-resolution X-ray photoelectron spectroscopy and Auger electron spectroscopy. We demonstrate the dry etch characteristics of ALD GeO2 films. Dry etch test was performed in the reactive ion etching chamber equipped with a direct capacitive-coupled plasma, using C/F based dry etch chemistry. In addition, the dry etch mechanism of the ALD GeO2 film was investigated in comparison with thermal oxide and silicon nitride. Basic dry etching selectivity mechanism between SiO2 and Si3N4 lies on the different ability of each film to self-consume the polymer barrier layer which is formed during dry etching process using C/F based plasma. Our dry etch test results showing a faster dry etch rate for Si3N4 film against SiO2 film during the reactive ion etching, are in good agreement with the exper imental results reported in literatures, while the ALD GeO2 film reveals its dry etch characteristics which encompass the competing reaction between etching and polymerization.
ALE-SuP-13 Laser Isotropic Atomistic Removal of Germanium
Dongwoo Paeng, He Zhang, Y.S. Kim (Lam Research Corp.)
Pico second (ps) pulsed laser has been used to achieve isotropic atomistic removal of germanium (Ge). After hydrogen (H2) plasma pre-treatment at room temperature, adsorption of O2 or Cl2 gas on the clean Ge surface is used to form germanium monoxide (GeO) or germanium chloride (GeClx) layer. Under laser irradiation, modified layer will heat up rapidly depending on the laser fluence. This surface oxide or halide desorbs thermally, and the short pulse of the ps laser suppresses heat diffusion into the material enabling surface-confined photo-thermal reactions on an ultrafast time scale without thermal budget issue. Etch rates (ER) of sub-nanometers per cycle have been achieved. We report on parametric studies showing how the laser parameters and process conditions affect the ER and the surface roughness after etching.
ALE-SuP-14 Anisotropic Atomic Layer Etching of Tungsten using Reactive Ion Beam
Doo San Kim, Ju Eun Kim, Won Oh Lee, You Jung Gill, Byeong Hwa Jeong, Geun Young Yeom (Sungkyunkwan University, Republic of Korea)
Atomic layer etching (ALE) is a next generation etching technique consisting of cyclic removal of a monolayer per cycle by repeating adsorption and desorption steps. ALE has advantages such as precise thickness control, high etch selectivity, surface smoothing effect, and minimization of the surface damage during the etching. For the fabrication of next generation nanoscale devices, both isotropic and anisotropic ALE techniques are required. In this study, anisotropic ALE of tungsten (W), which is used as an interconnect layer and the gate material of semiconductor devices, was investigated by sequentially exposing to F radicals by NF3 plasma to form a tungsten fluoride layer followed by the exposure to an oxygen ion beam to remove the tungsten fluoride layer by forming volatile tungsten oxyfluoride (WOxFy) compound at room temperature. The result showed that, at optimized ALE conditions, a precise etch rate of ~ 2.6 Å/cycle was obtained while increasing the W etch depth linearly with increasing the number of etch cycles. And, the W ALE mechanism was investigated by analyzing the surface roughness and surface composition of W during the adsorption step and desorption step.
Session Abstract Book
(352KB, May 5, 2020)
Time Period SuP Sessions | Topic ALE Sessions | Time Periods | Topics | ALD2019 Schedule