ALD2017 Session PS1-SuM: Sunday Plenary Session I

Sunday, July 16, 2017 8:30 AM in Room Plaza ABC

Sunday Morning

Session Abstract Book
(220KB, May 5, 2020)
Time Period SuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
8:30 AM PS1-SuM-3 Future Applications and Challenges for ALD in Microelectronics
Suvi Haukka (ASM, Finland)
The number of different materials in semiconductor devices has been increasing nearly exponentially with the down-scaling of device dimensions. For decades the device consisted of mainly Si based materials, but by the late 1990´s it became clear these materials alone cannot enable the required scaling. Furthermore, the deposition methods used were unable to meet the ever more stringent requirements. Thus atomic layer deposition (ALD), although considered too slow at that time, started gaining increasing interest. ALD dielectrics were the first to be investigated, especially due to the need for ultra-thin and highly conformal films. In early 2000 first ALD Al2O3 and later ZrO2 were used in memory applications, while in 2007 ALD HfO2 replaced SiO2 as the gate oxide in transistors at the 45 nm node. Now ten years later the research community is facing even greater challenges in future device scaling, which calls for new ALD processes, or even completely new deposition methods. We all working in the deposition field are challenged to deposit high quality materials at low temperatures, such as low resistivity metals and patterning layers. Also we are requested to deposit many materials selectively only on certain surfaces, for instance to overcome lithography misalignment issues. Since there is less and less room for all the different layers, the thickness of each layer is approaching 1nm or less making the uniform deposition demanding. Furthermore, the materials should also be deposited conformally in extremely high aspect ratio, highly complicated and very high surface area structures. These industry needs drive new materials requirements which put great demands on the ALD chemistry. It is essential to understand the chemistry of the starting surfaces, interfaces and the interaction of precursors with the varying surfaces to make it possible to selectively deposit uniform and continuous layers less than 1 nm thick. Any of the challenges mentioned could be difficult to overcome, unless we understand in detail how to design and optimize our ALD reactors for the new chemistries. Thus not only the chemistry of ALD, but also the hardware play a big role in how successful we will be. In this presentation the various future applications and challenges are reviewed with the main focus on how the ALD chemistry is critical for developing solutions.
9:15 AM PS1-SuM-6 Future Trends of Deposition Technologies in Semiconductor Industry
Mei Chang (Applied Materials)

3D transistor FinFET, 3D memory, and multiple patterning have been driving the semiconductor industry for the recent years. While Moore’s Law is still on going, dimensional scaling has slowed down noticeably; instead, the focus has shifted toward stacking vertically. The challenges to deposit films conformally and to fill the features free of void are getting more severe. On top of them, the demand for various functional materials is continuously expanding on the periodic table.

In this talk, we will discuss several technologies: thin conformal coatings, void free filling, and selective deposition; their application and tradeoffs.

Session Abstract Book
(220KB, May 5, 2020)
Time Period SuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | ALD2017 Schedule