ALD2017 Session ALE-MoM: Atomic Layer Etching Session III (8:00-10:00 am) and Session IV (10:45 am-12:00 pm)

Monday, July 17, 2017 8:00 AM in Room Plaza D

Monday Morning

Session Abstract Book
(286KB, May 5, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule

Start Invited? Item
8:00 AM ALE-MoM-1 Selectivity in Thermal Atomic Layer Etching
Younghee Lee, Steven M. George (University of Colorado)

Selectivity in etching is required to remove one material in the presence of other different materials. Selectivity in atomic layer etching (ALE) is important for advanced semiconductor fabrication. Selective ALE, together with selective atomic layer deposition (ALD), could lead to the maskless fabrication of device structures. This talk will examine the selectivity of thermal ALE by exploring the etching of a number of important semiconductor materials including Al2O3, HfO2, ZrO2, SiO2, Si3N4, and TiN. The thermal ALE will be conducted using various sequential, self-limiting reactions.

The first part of the talk will examine selective thermal ALE based on fluorination and ligand-exchange reactions.[1] Fluorination is achieved using HF as the fluorine reactant. Different metal precursors provide various ligands that may transfer during ligand-exchange. Etching occurs when the transferred ligands produce stable and volatile metal products that may leave the surface. The metal precursors are tin(II) acetylacetonate (Sn(acac)2), trimethylaluminum (TMA), dimethylaluminum chloride, and SiCl4.[2] These metal precursors provide acac, methyl, and chloride ligands for ligand exchange. Spectroscopic ellipsometry was used to measure the etch rates.

The spectroscopic ellipsometry measurements revealed that HfO2 was etched by all of the metal precursors. Al2O3 was etched by all of the metal precursors except SiCl4. ZrO2 was etched by all of the metal precursors except TMA. In contrast, SiO2, Si3N4, and TiN were not etched by any of these metal precursors. These results can be explained by the stability and volatility of the possible etch products. Temperature can also be used to obtain selective thermal ALE. The combination of different metal precursors with various ligands and different temperatures can provide multiple pathways for selective thermal ALE.

The second part of this talk will present results for the thermal ALE of TiN using a new etching mechanism. Spectroscopic ellipsometry and x-ray reflectivity analysis showed that the TiN films were etched linearly versus the number of ALE cycles. In contrast, this new method was highly selective and did not etch Al2O3, HfO2, ZrO2, SiO2, and Si3N4. The etch rates for TiN ALE increased with temperature from 0.06 Å/cycle at 150 °C to 0.20 Å/cycle at 250 °C and stayed nearly constant for temperatures ≥250 °C. The thermal ALE of many other materials should be possible using this new etching mechanism.

[1] Younghee Lee and Steven M. George, ACS Nano 2015, 9, 2061.

[2] Younghee Lee, Craig Huffman, and Steven M. George, Chemistry of Materials 2016, 28, 7657.

View Supplemental Document (pdf)
8:30 AM ALE-MoM-3 Modeling the Chemical Mechanism of Thermal ALE of Alumina by HF and Tin Acetylacetonate
Simon Elliott (Tyndall National Institute, University College Cork, Ireland)

Lee and George have established a new platform for the conformal removal of oxide materials with atomic-level control, termed 'thermal atomic layer etch' (ALE) [1]. By-products, intermediates and limiting factors have been deduced from in situ infrared spectoscopy and quartz crystal microbalance measurements [2]. In this study, we use density functional theory (DFT) to further investigate the mechanism of thermal ALE. We focus on the etching of Al2O3 by HF and Sn(acac)2 [acac=acetylacetonate], and also consider the viability of alternative reagents and substrates.

By simulating the interaction of HF with an alumina surface, we confirm that H2O is the by-product and that this apparently self-limiting reaction fixes the maximum etch rate that can be achieved. We find that other halides HCl, HBr and HI are also reactive in this part of the ALE cycle.

Further calculations reveal that the ligand-exchange reactions of the Sn (acac)2 co-reagent with a fluorinated surface require thermal activation. A range of by-products are possible. However, brominated and iodated surfaces are inert towards this co-reagent.

The study is extended to screening other substrates by computing the thermodynamics of the overall etch reaction. The computed data show that etching of HfO2 and ZrO2 with HF+Sn(acac)2 is more favourable than etching Al2O3. The fact that the experimental ALE rate of HfO2 and ZrO2 is lower than that of Al2O3 [3] emphasises the need to consider not just thermodynamics, but also how kinetics affects residual coverages and hence etch rates during the ALE cycle.

[1] Y. Lee, J. W. DuMont & S. M. George, ECS J. Solid State Sci. Techn. 4,

N5013 (2015); S. M. George & Y. Lee, ACS Nano 10, 4889 (2016).

[2] Y. Lee, J. W. DuMont & S. M. George, Chem. Mater. 27, 3648 (2015).

[3] Y. Lee, C. Huffman & S. M. George, Chem. Mater. 28 7657 (2016).

View Supplemental Document (pdf)
8:45 AM ALE-MoM-4 Integrating Atomic Layer Deposition and Etching to Achieve Selective Growth
Stacey F. Bent (Stanford University)

A variety of steps in electronic device fabrication may benefit from selective processing, including those in both the front end and back end of line. Area selective atomic layer deposition (ALD), an approach in which deposition occurs on specific regions of a substrate (active) while other regions (passive) remain free of deposition, has received much attention over the past decade. However, area selective ALD processes typically break down after more than a few nanometers of material is deposited, degrading the selectivity between active and passive regions of the substrate. We have found that combining area selective ALD with chemically-selective etching can significantly enhance selective processing. We introduce a process in which self-assembled monolayers (SAMs) are used to create passive regions of a surface, allowing ALD to preferentially deposit on the remaining active areas. Imperfect selectivity results, however, because the ALD process begins to nucleate on the regions of the surface covered with the SAM. Selectivity is regained when the area selective ALD is combined with selective removal of any residual dielectric film with a mild etchant. Selective growth of more than 60 nm of metal oxide dielectric material has been achieved using this combined deposition/etching process. Strategies to expand this process to cycle between deposition and etching, and to eliminate the SAM, will be discussed.

9:15 AM ALE-MoM-6 ALE and ALC: Computational Assessment of Opportunities and Challenges in Nanoelectronic Applications
Sumeet C. Pandey (Micron)

Realization of atomic-scale control during processing can catalyze incorporation of ultra-thin materials (low-dimensional) with device functionality on complex three-dimensional topographies. Atomic layer etching and cleans have been cited as potential processes that can help enable a sustainable semiconductor technology roadmap, however, there is a clear need to identify paths to achieving applications in high-density semiconductor manufacturing. We will leverage the literature data and learning from ALD community to evaluate thermal ALE regime against various options for precursor chemistry, process parameters, and feature aspect ratios. The talk will discuss findings relevant to nanoelectronic applications with emphasis on the surface reactions and its control through process conditions and chemistry using first-principles-based multiscale modeling.

9:45 AM ALE-MoM-8 Reactor Scale Uniformity Enabled by Atomic Layer Etching
Chad Huard, Steven Lanham, Mark Kushner (University of Michigan)

One of the possible benefits of atomic layer etching (ALE) is improved uniformity at the wafer scale when compared to continuous etching processes. The ability to produce uniform etch rates in spite of non-uniform reactant fluxes stems from the self-limited nature of the reactions used for ALE. Similar to atomic layer deposition (ALD), utilizing self-limited reactions enables step times to be extended until surface coverage is complete and uniform for each of the ALE sub-cycles. Wafer scale non-uniformities in the incoming fluxes therefore should not, in principle, translate into non-uniformities in etch rates. While ideally self-limited reactions in ALE are expected to produce perfectly uniform etch profiles across the wafer, it is not clear what effect the presence of non-self-limited reactions – which are inevitable in conventional plasma equipment – will have on the wafer scale uniformity.

ALE using non-uniform and non-ideal fluxes was computationally investigated using the 3-dimensional Monte-Carlo Feature Profile Model (MCFPM). The etching of silicon trenches was used as an example case. The ALE of silicon was accomplished using a Cl2 plasma to passivate the surface, and an Ar plasma (with a small RF bias) to remove the passivated layer, while continuous etching was simulated using an Ar/Cl2 mixture with similar ion energies. The Hybrid Plasma Equipment Model was used to simulate fluxes, energy and angular distributions as a function of radial position on the wafer in an inductively coupled plasma (ICP) reactor. By changing the position of the ICP antenna, the uniformity of reactant fluxes to the wafer was varied.

The simulated etch profiles indicate that changes in the ion flux to the wafer produce a nearly linear change in etch rate for the continuous etching cases, while when operating in ALE mode, there was a much smaller dependence of etch rate on the incoming ion flux. The ability of the ALE process to tolerate non-uniform fluxes is not only dependent on the lack of continuous etching mechanisms (high ALE synergy), but it requires that the system is operated in a regime where a large proportion of the incoming reactant fluxes are rejected by the saturated surfaces. For sub-saturated conditions, the ALE etch rate is also linearly dependent on ion flux, despite having little or no continuous etching.

Work supported by LAM Research Corp., the DOE Office of Fusion Energy Science and the National Science Foundation.

10:00 AM Coffee Break & Exhibits
10:45 AM ALE-MoM-12 Thermal Atomic Layer Etching of Cobalt Metal Films
Charles H. Winter, Wathsala Waduge (Wayne State University)

Atomic layer deposition (ALD) produces films with sub-nanometer thickness control and perfect conformality because of the inherent self-limited growth mechanism.1 The opposite of ALD is atomic layer etching (ALE), where films are etched with a layer-by-layer, self-limited mechanism.2 To date, ALE has been mostly focused on plasma-based processes.2 However, plasma ALE requires expensive equipment and the energetic plasma ions can damage substrates, films, and equipment. Accordingly, there is an urgent need to develop thermal ALE processes, which use carefully designed, exothermic chemical reactions to achieve etching. The first thermal ALE processes were only reported in 2015 for Al2O3,3 AlF3,4 and HfO25 films. Cobalt metal films are widely used to encapsulate copper in microelectronics devices to stop the electromigration of copper.6 The thermal ALE of cobalt metal would be valuable for the manipulation of cobalt liners and caps, however, the thermal ALE of cobalt metal films has not been reported. Herein, we will describe a thermal ALE process for cobalt metal, which entails treatment of 50 to 100-nm thick cobalt metal films with formic acid, followed by the organic ligand Me2NNHC(O)tBu (L1H). The etch rate is about 0.08-0.10 Å /cycle at 180 ° C. Presumably, the formic acid pulses oxidize the surface cobalt atoms to cobalt(II) formate, and then the L1H pulse reacts with the cobalt(II) formate to afford Co(L1)2. We recently reported the synthesis and structure of Co(L1)2, and found that it sublimes at 75 °C/0.05 Torr and undergoes solid state thermal decomposition at 245 °C.7 Accordingly, Co(L1)2 should be evolved as a volatile species under the ALE conditions. Additional details of the ALE process will be presented.

1. S.M. George, Chem. Rev. 110 (2013) 111-131.

2. K.J. Kanarik, T. Lill, E.A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R.A. Gottscho, J. Vac. Sci. Technol. A 33 (2015) 020802.

3. Y. Lee, S.M. George, ACS Nano 9 (2015)2061-2070. Y. Lee, J.W. DuMont, S.M. George, Chem. Mater. 27 (2015)3648-3657.

4. Y. Lee, J.W. DuMont, S.M. George, J. Phys. Chem. C 119 (2015) 25385-25393.

5. Y. Lee, J.W. DuMont, S.M. George, ECS J. Solid St. Sci. Technol. 4 (2015) N5013-N5022.

6. C.-C. Yang, P. Flaitz, P. Wang, F. Chen, D. Edelstein, IEEE Electron Dev. Lett. 31 (2010) 728-730.

7. M.C. Karunarathne, T.J. Knisley, G.S. Tunstull, M.J. Heeg, C.H. Winter, Polyhedron 52 (2013) 820-830.

11:15 AM ALE-MoM-14 WO3 and W Thermal Atomic Layer Etching Using “Conversion-Fluorination” and “Oxidation-Conversion-Fluorination” Etching Mechanisms
Nicholas Johnson, Steven M. George (University of Colorado)

Atomic layer etching (ALE) of metals is important for the controlled removal of many valuable semiconductor materials such as conductors (e.g. W, Cu), metal gates (e.g. Ta, Ti) and metals in magnetic multilayers (e.g. Co, Fe). However, few reports exist for metal ALE using either plasma or thermal processes. Conventional thermal ALE that has defined recent work on metal oxide [1] and metal nitride [2] materials does not work for metals. New reaction pathways are required to etch metals. This study targets W ALE and examines both WO3 ALE and W ALE as W oxidation to WO3 is needed to define self-limiting reactions for W ALE.

WO3 ALE was demonstrated using an AB exposure sequence with boron trichloride (BCl3) and hydrogen fluoride (HF). BCl3 and HF etch WO3 by a “conversion-fluorination” mechanism. The BCl3 converts the WO3 surface to a B2O3 layer while forming volatile WOxCly. HF then spontaneously etches the B2O3 layer producing volatile BF3 and H2O products. WO3 films were formed by oxidizing W ALD films with an oxygen plasma at 280oC. In situ spectroscopic ellipsometry (SE) studies determined that the BCl3 and HF reactions were self-limiting versus exposure. WO3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128°C to 4.19 Å/cycle at 207°C. W served as an etch stop because BCl3 and HF could not etch the underlying W film.

W ALE was performed using a three-step “oxidation-conversion-fluorination” mechanism. This is an ABC exposure sequence that where the W surface is first oxidized to a WO3 layer and then the WO3 layer is etched with BCl3 and HF. SE could simultaneously monitor the W and WO3 thicknesses and conversion of W to WO3. Oxidation of the W surface was performed using either O2 plasma or O3. SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. The etch rates for W ALE were between 1.2-1.6 Å/cycle at 207°C depending on oxidation reactant and reaction conditions. In contrast, the WO3 thickness was variable and could either increase or decrease depending on the oxidation conditions.

[1] Younghee Lee, et al., “Trimethylaluminum as the Metal Precursor for the Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions”, Chem. Mater. 28, 2994-3003 (2016).

[2] Nicholas R. Johnson, et al., “Thermal Atomic Layer Etching of Crystalline Aluminum Nitride Using Sequential, Self-Limiting HF and Sn(acac)2 Reactions and Enhancement by H2 and Ar Plasmas”, J. Vac. Sci. Technol. A 34, 050603 (2016).

View Supplemental Document (pdf)
11:30 AM ALE-MoM-15 Plasma-Enhanced Atomic Layer Etching of TiN and TaN with Organic Masks
Nathan Marchack, John Papalia, Robert Bruce, Sebastian Engelmann, Eric Joseph (IBM TJ Watson Research Center)

The concept of atomic layer etching (ALE) has garnered significant interest for future technology node patterning applications, owing to its potential for unparalleled control over the vertical dimensions of subtractive etching as well as minimal damage through a set of self-limiting reactions.1 The continual downscaling of feature sizes and integration of novel materials has already begun to be limited by the complex nature of conventional continuous-wave plasmas, in which etch and deposition reactions occur simultaneously and cannot be fully decoupled from the variation of physical parameters such as power, pressure and gas flows. The ability of ALE to at least partially delineate these competing mechanisms in a plasma could be a powerful tool to overcoming these challenges.

This talk focuses on the plasma-enhanced ALE of TaN and TiN, which often serve as hardmasks for patterning of etch-resistant metals for non-volatile memory applications. These materials also function as the top electrode in memory cells due to their conductive nature, so the ability to generate patterns with low damage at tight pitches becomes increasingly important for future device technology. A plasma-enhanced atomic layer etch (PE-ALE) process utilizing sequential cycles of Cl2 (deposition) and He/H2 (etch) chemistries separated by purge steps was used to pattern TiN and TaN lines using an OPL mask at 100nm CD and 200nm pitch. Compared to a continuous wave Cl2 plasma, the PE-ALE process demonstrated virtually no metal residue on the OPL mask and SiOx stop layer; as well as a powerful knob for tuning the profile and CD of the features by controlling the purge times between cycles.

[1] G. S. Oehrlein, D. Metzler, and C. Li, Atomic Layer Etching at the Tipping Point: An Overview

ECS J. Solid State Sci. Technol. 2015 4(6): N5041-N5053

Session Abstract Book
(286KB, May 5, 2020)
Time Period MoM Sessions | Abstract Timeline | Topic ALE Sessions | Time Periods | Topics | ALD2017 Schedule