PacSurf2014 Session TF-TuP: Thin Films Poster Session

Tuesday, December 9, 2014 4:00 PM in Room Mauka

Tuesday Afternoon

Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | PacSurf2014 Schedule

TF-TuP-1 Functionalized Benzothieno[3,2-b]thiophenes (BTTs) for High Performance Organic Thin-Film Transistors (OTFTs)
Choongik Kim (Sogang University, Korea, Republic of Korea)

New benzothieno[3,2-b]thiophene (BTT) derivatives, end-functionalized with biphenyl (Bp-BTT), naphthalenyl (Np-BTT), and benzothieno[3,2-b]thiophenyl (BBTT; dimer ofBTT) moieties, were synthesized and characterized for bottom-gate/top-contact organic thin-film transistors (OTFTs). All three materials exhibit good environmental stability as assessed by thermogravimetric analysis, and no decomposition after extended light exposure, due to their wide band gaps and low-lying HOMOs. The single crystal structures of Bp-BTT and BBTT reveal flat molecular geometries, close π–π stacking, and short sulfur-to-sulfur distances, suggesting an ideal arrangement for charge transport. X-ray diffraction (XRD) measurements verify that the bulk crystal structures are preserved in the polycrystalline thin films. As a consequence, Bp-BTT and BBTT exhibit good OTFT performance, with µ = 0.34 cm2V-1s-1 (max) and Ion/Ioff = (3.3 ± 1.6) × 108 for Bp-BTT, and µ = 0.12 cm2V-1s-1 (max) and Ion/Ioff = (2.4 ± 0.9) × 107 for BBTT; whereas Np-BTT gives lower device performance with µ = 0.055 cm2V-1s-1 (max) and Ion/Ioff = (6.7 ± 3.4) × 108. In addition, octadecyltrichlorosilane (OTS) self-assembled monolayer (SAM) treatment of the SiO2 gate dielectric is found to be effective in enhancing the OTFT performance for all three BTT derivatives, by improving the interfacial semiconductor film morphology and in-plane crystallinity.

TF-TuP-2 Surface Properties of TiN and TiAlN Thin Film Layers on WC Tool Materials
Ki Buem Kim, Charles Han (Sejong University, Republic of Korea); YoungGun Kim (YG-1, Republic of Korea)

Whereas they are classified as hard materials to cutting, difficult-to-machine materials have excellent mechanical properties. Today, development of high efficiency machining technology is ongoing due to rapidly increase percentage of the ultralight and high-hardness difficult-to-machine materials such as Inconel, Ti and composite materials focused on high-tech industries. Especially, in the aerospace and the automobile industry, although rates of applications of high-strength and lightweight materials are going up, these state-of-the art materials as high stiffness and toughness substances difficult to cutting is the lowest machinability. In this study, dealing with these problems, it is necessary to develop mass production processing technology and the corrosion-resistant, heat-resistant and oxidation-resistant coating for difficult-to-machine processing tools. In order to accomplish desired results, we conducted experiments to develop coating technology on Ti-Nitride distributed inductive Nano-crystalline Matrix Composite through alloy design in advance. According with this survey, it was found that the relationship of process parameters and the hardness by controlling thickness of Ti-Nitride coating layer was determined and discussed the effect of microstructural changes on the mechanical properties of Ti-Nitride coating layer. Sputtering process used for the formation and controlling of Nitride on n ano-crystalline matrix via modulating flux of Nitrogen.

TF-TuP-3 Novel Techniques and Devices for In-Situ Film Coatings of Long, Small Diameter Tubes or Elliptical and other Surface Contours*
Ady Hershcovitch, Michael Blaskiewicz, Michael Brennan (Brookhavan National Laboratory); Art Custer, Aaron Dingus, Mark Erickson (PVI, Oxnard, California 93031, USA); Wolfram Fischer (Brookhavan National Laboratory); Nader Jamshidi (PVI, Oxnard, California 93031, USA); C-J Liaw (Brookhavan National Laboratory); Robert Laping (PVI, Oxnard, California 93031, USA); Wozhang Meng (Brookhavan National Laboratory); Henry Poole (PVI, Oxnard, California 93031, USA); Robert Todd (Brookhavan National Laboratory)

Two devices and techniques that can, via PVD, coat various surface contours or very long small aperture pipes. First 3-axes robotic manipulators controlling separate robotic assemblies resulted in 9-axes of motion combined with conformal shape of the cathodes that can articulate various curved surface contours was developed and successfully used for optically coating aircraft canopies. Second a magnetron mole was developed in order to in-situ coat accelerator tube sections of the Brookhaven National Lab (BNL) relativistic heavy ion collider (RHIC) that have 7.1 cm diameter with access points that are 500 meters apart, for copper coat the RHIC vacuum tube in order to alleviate the problems of unacceptable ohmic heating and of electron clouds. A magnetron with a 50 cm long cathode was designed fabricated and successfully operated to copper coat a whole assembly containing a full-size, stainless steel, cold bore, of the RHIC magnet tubing connected to two types of RHIC bellows, to which two additional pipes made of RHIC tubing were connected. The magnetron is mounted on a carriage with spring loaded wheels that successfully crossed bellows and adjusted for variations in vacuum tube diameter, while keeping the magnetron centered. Electrical power and cooling water were fed through a cable bundle. The umbilical cabling system, which is enclosed in a flexible braided metal sleeve, is driven by a motorized spool. To increase cathode lifetime, movable magnet package was developed, and thickest possible cathode was made, with a rather challenging target to substrate distance of less than 1.5 cm. Optimized process to ensure excellent adhesion was developed. Coating adhesion of 10 μm Cu passed all industrial tests and even exceeded maximum capability of a 12 kg pull test fixture. Details of experimental setup for coating two types of bellows and a full-scale magnet tube sandwiched between them will be presented. Room temperature RF resistivity measurement indicated that 10 μm Cu coated stainless steel RHIC tube has conductivity close to copper tubing. Work is in progress to repeat the RF resistivity measurement at cryogenic temperatures. Plans are to develop techniques for in situ coating of elliptical and other surface contour RF cavities and long beam pipes with thick superconducting films.

*Work supported by Brookhaven Science Associates, LLC under Contract No. DE-AC02-98CH10886 with the U.S. Department of Energy

TF-TuP-5 Ultrasound Influence on the Si-SiO2 System Defects Structure
Daniel Kropman (Tallinn University of Technology, Estonia); Tony Laas (Tallinn Universrsity, Estonia)

The effect of ultrasonic treatment (UST) on the defect structure of the Si–SiO2 system by means of electron spin resonance (ESR), selective etching, MOS capacitance technique and secondary ions mass-spectroscopy (SIMS) is presented.[1,2]. The non-monotonous dependence of the defect densities on the US wave intensity has been observed. The influence of the UST frequency on the ESR signal intensity of the defect centres depended on the defects type and may be caused by vibration energy dissipation, which are a function of defect centres type. The influence of the UST on the Si–SiO2 interface properties depends on the oxide thickness and crystallographic orientation. The density of point defects and absorbed impurities at the Si–SiO2 interface can be reduced and its electrical parameters improved by an appropriate choice of the UST and oxidation condition.UST is widly used in medicine, not only for diagnostic,but for cancer treatment too. UST influence on inorganic and organic materials have common properties. These allow to suggest that UST may be used in biotechnology for materials properties modification.

References

[1] D.Kropman,V.Poll,L.Tambek,T.Karner,U.Abru.Ultrasonics 36(1998)1021

[2] D.Kropman,S.Dolgov.Physica Stat.Solidi©9(2012)2173-2176.

TF-TuP-6 Fabrication of Transparent Superhydrophobic Surface by Single, Multi-Step and Gas Mixture Method Using CH4/C4F8/He Atmospheric Pressure Plasma
Duksun Han, SeYoun Moon (Chonbuk National University, Republic of Korea)
Superhydrophobic surface was prepared by CH4/C4F8/He atmospheric pressure plasma. Single step and multi-step processes using CH4 and C4F8 precursors are performed to investigate evolution of a transparency and wettability. In case of the single step process, a surface modification using C4F8 showed high water droplet contact angle (WCA) relative to the CH4 plasma treatment due to a difference of surface free energy. On the other hand, the contact angle was remarkably increased to 152o, indicating superhydrophobic property, using sequential multi-step CH4 and C4F8 plasma treatment. Also, a rapid single-step method using a He, CH4, and C4F8 mixture plasma was performed. In this case, WCA was gradually increased to 170 o and the transparency was decreased when the gas ratio of C4F8 to the total flow rate was increased. The chemical and physical mechanism responsible for hydrophobicity by atmospheric pressure plasma is discussed through the investigation of chemical composition and surface morphology using a FTIR, XPS, SEM and AFM.
TF-TuP-7 Influence of the Transfer and Chemical Treatment of CVD(Chemical Vapor Deposition) Graphene for Flexible Transparent Electrodes
YenaKim Kim (Korea Electronics Technology Institute (KETI), Republic of Korea); Hyeongkeun Kim (Korea Electronics Technology Institute); WooSeok Yang (Korea Electronics Technology Institute, Republic of Korea)
It has become critically important to develop reliable method to transfer chemical vapor deposited (CVD) graphene from its growth substrate to the target substrate without leaving undesired polymer residues on the graphene surface. Here, we have found that for the two different transfer method – wet transfer using poly(methyl metharcrylate) (PMMA) and dry transfer using polydimethylsiloxane (PDMS) as support layer, the amount of polymer residues and other impurities left on the graphene surface varies depending on the solvent used to remove those polymers. The exposure of the graphenes to different organic solvents such as acetone and chloroform resulted in different amount of polymer residues and impurities present on the graphene surface, which impact the electronic structure of the transferred graphene. It was found that the graphene obtained using the dry transfer method and acetone as solvent showed a 2D to G (I2D/IG) intensity ratio of 4.58 and a 2D peak full width-half maximum (FWHM) of 24.66, which was higher than that using the wet transfer method and chloroform as solvent. These results showed that graphene was less affected by the polymer residues and impurities for the dry transfer method rather than the wet transfer method. In addition, using acetone rather than chloroform as solvent in the dry transfer method led to less contaminated graphene.
TF-TuP-8 A Study on Amorphous InGaZnO Thin Film Transistor with Wet Etched Copper/Molybdenum Alloy Electrode
JongHyun Seo, JaeHong Jeon, HeeHwan Choe, JooHo Yoon (Korea Aerospace University, Republic of Korea); Hong-sik Kim (Korea Aerospace University)

We fabricated the back channel etch type copper/molybdenum alloy/Indium gallium zinc oxide thin film transistors using only wet patterning in phosphoric acid based copper etchant. Compared with pure molybdenum S/D electrode, New Mo alloy electrodes showed better TFT performances both in mobility and on current. The mobility was increased by 50% and on current increased up to 100% compared to those of pure molybdenum electrodes. Little is know about the effect of S/D electrode material on the electrical properties of amorphous IGZO oxide TFTs.

A modeling based on the reduced elastic strain energy by reduction in biaxial elastic modulus of the Mo alloy film is proposed to explain enhanced electrical properties of Cu/Mo alloy/IGZO TFT. The effects of alloy percentage in the alloy, chemical additives on the electrical properties of back channel etch type Cu/Mo alloy/IGZO oxide TFTs were investigated using surface analysis and electrochemical methods.

TF-TuP-9 Synchrotron Radiation Photoelectron Spectroscopy Study on Surface Oxidation of Ge(100) and Ge(111) at Room Temperature
Akitaka Yoshigoe (Japan Atom Energy Agency, Japan); Ryuta Okada (University of Tsukuba, Japan); Yuden Teraoka (Japan Atomic Energy Agency, Japan); Yoichi Yamada (University of Tsukuba, Japan); Masahiro Sasaki (University og Tsukuba, Japan)

Germanium (Ge) has been interesting as future substitute channel material for metal-insulator-semiconductor field-effect-transistors (MISFETs) because of its high carrier mobility. Although precise controlling of the surface oxide layer of Ge surfaces is important for fabrication of dielectric/Ge interface in Ge-based devices, fundamental aspects of oxidation reaction at Ge single crystal surfaces and nature of the oxide monolayer have not yet been well understood. In this presentation, we report the nature of oxides of Ge(100)-2×1 and Ge(111)-c(2×8) surfaces fabricated with pure oxygen gas (O2) at 300 K. Surface oxide and its evolution from very initial stages to the maximum oxide coverage were measured by real-time photoelectron spectroscopy using synchrotron radiation. All experiments were conducted with SUREAC2000 at BL23SU in Spring-8. We found the saturation oxide coverage on both surfaces is less than one monolayer. We found that initial sticking coefficient of O2 was much smaller than that for Si(100). SR-XPS measurements demonstrated that the maximum oxidation number of Ge in saturation region was as small as 2+, which exhibits a strong contrast to the case of Si where 4+ state is spontaneously formed. Our results suggest that native oxides layer with Ge4+ cannot be formed only with pure O2 gas. Our findings reveal the significant difference between the nature of surface oxides of Ge and Si and can be useful for a precise control of oxide layer on Ge surfaces.

This research was partially supported by grants-in-aid from the Ministry of Education, Cultures, Sports, Science and Technology, for Exploratory Research, No. 26420289. The synchrotron radiation experiments were performed at the BL23SU of Spring-8 with the approval of JASRI (Proposal No. 2007B3802, 2008A3804, 2008B3804, 2009A3804, 2011A3804, 2011B3802, 2012A3802, 2012B3802, 2013A3802, and 2013B3802).

TF-TuP-10 Effect of Al Doping on Crystallization and Electrical Property of CeO2 Films Deposited by RF Magnetron Sputtering
Keiji Ishibashi (COMET Inc., Japan); Takuya Okazaki, Hiroki Kamata, Yuki Notani, Kenta Hara, Takashi Osawa, Kazuya Aoki, Keita Fujiyama (Hosei University, Japan); Sung-Gi Ri, Setsu Suzuki (COMET Inc., Japan); Yasuhiro Yamamoto (Hosei University, Japan)
To suppress crystallization of CeO2 thin films as a gate stack material in MOS devices, Al was doped in CeO2 films during radio frequency (RF) magnetron sputtering deposition on p-type Si (100) substrates. Deposition was carried out at room temperature in an Ar atmosphere of 5.3 Pa to a typical thickness of 35 nm using a CeO2 target on which some Al metal plates were bonded. The composition of the resultant deposited films measured by X-ray photoelectron spectroscopy was Ce0.28Al0.06O0.66, equivalent to (CeO2)0.9(Al2O3)0.1 in terms of the molar fraction. The post annealing was performed in air and N2 in the temperature range from 200 to 600°C. Addition of aluminum oxide with 10% molar fraction into CeO2 during sputter deposition was effective in suppressing crystallization. The deposited films remained amorphous after annealing up to 500°C from observation of X-ray difraction and transmission electron diffraction. The interfacial SiO2 layer was grown after annealing in both ambients of air and N2. While after annealing in air the interface consisted of a simple double layer of CeO2/SiO2/Si, a lower oxide such as Ce2O3 was formed between CeO2 and SiO2 in an N2 annealing ambient. The leakage current measured at the applied electric field of 1 MV/cm (gate voltage=3.5 V) was decreased to as low as the order of 10-8 and 10-7 A/cm2 after annealing at 200°C in air and N2, respectively. The C-V hysteresis of the samples annealed in air was broadened with the increase of annealing temperature. Annealing in N2 reduced C-V hysteresis in contrast to air annealing, but appearance of a kink and gradual slope in the depletion state on its C-V curves suggested the existence of a large amount of shallow states originated from the lower Ce oxide at the interface. The flat band voltage after annealing was shifted toward higher gate voltages due to the fixed negative charge arising from Al oxides. The Al doping in CeO2 was effective in suppressing crystallization of CeO2 films, but introduced complicated behavior in I-V and C-V electrical characteristics, while in the non-doped CeO2 films electrical neutrality of the interfacial lower Ce oxide (Ce2O3) was maintained and led to relatively simple behavior in electrical characteristics.
TF-TuP-11 Electrical and Crystallization Properties of Al Doped CeO2 Thin Films Deposited by Reactive RF Sputtering with O2 Introduction
Takashi Osawa, Yuki Notani, Kenta Hara, Kazuya Aoki, Keita Fujiyama (Hosei University, Japan); Keiji Ishibashi, Setsu Suzuki (COMET Inc., Japan); Yasuhiro Yamamoto (Hosei University, Japan)

Cerium dioxide is one of the materials of interest as a gate stack in metal-oxide-semiconductor (MOS) devices. CeO2 is likely to crystallize, however, leading to the possible increase of the leakage current. To suppress crystallization of CeO2 thin films, Al was doped in CeO2 films during deposition using RF magnetron sputtering using CeO2 target on which Al plates were bonded with the applied RF power of 50 W at room temperature at a pressure of 5.3 Pa. The deposition was carried out with and without O2 introduction in order to investigate the influence of oxygen deficiency in the deposited film. The deposition time was adjusted so as to obtain the typical thickness of CeO2 films of 35 nm since the deposition rate decreased with increasing the O2 flow rate varying as 0, 2, 5 and 10%. The post annealing was performed in an N2 atmosphere in the temperature range from 200 to 600 °C.

The electrical properties after annealing were characterized by I-V and C-V measurements using the Hg probe as an electrode. Irrespective of the amount of O2 introduction, the leakage current at 3 MV/cm was minimized around 1.0×10-7 A/cm2 after annealing at 200 °C. After annealing at 400 °C, the leakage current increased by 3 orders of magnitude. For the sample annealed at 600 °C, the leakage current was recovered to the level of the as-deposited sample. The dielectric constant was increased with increasing amount of introduced O2. It tended to increase with increasing annealing temperature, but exceptionally decreased after annealing at 400 °C. The C-V characteristic represented the kink or even the step in the depletion region for sample annealed at 400 °C. The flat band voltage was shifted toward higher gate voltage with increasing annealing temperature, probably due to the fixed negative charge arising from Al oxides. The fact that the C-V hysteresis was broadened with the increase of introduced O2 implied that the existence of Al oxide in the film enhanced the generation of the interfacial states.

In the X-ray diffraction spectrum, the as-deposited samples represented strong CeO2 and weak Ce2O3 peaks when the amount of introduced O2 flow ratio was 0 and 2% while Ce2O3 peaks almost disappeared with the O2 introduction above 5%. After annealing at 200 – 600 °C the peak other than CeO2 was not observed. Judging from the electron diffraction image obtained from the fast Fourier transform (FFT) processing of high resolution transmission electron microscope image, CeO2 crystalline structure appeared to be destroyed due to the transition to another phase after annealing at 400 °C.
TF-TuP-13 Cadmium Oxide Hydroxide Film by Ammonia Free SILAR Method and its Conversion to Cadmium Oxide (Structural, Optical and Electrical Properties)
IkerRodrigo Chávez Urbiola, YuriVasilievitch Vorobiev, Rafael Ramírez Bon (CINVESTAV-Unidad Queretaro, Mexico)

Cadmium oxide hydroxide film onto glass substrates from aqueous alkaline solution at room temperature was realized by simple and economic version of Chemical Bath Deposition(CBD) - SILAR (Successive Ionic Layer Adsorption and Reaction). The films obtained were converted to polycrystalline cadmium oxide (CdO) by annealing treatment at different temperatures. It was found that the annealing temperature affects the grain size and the films density. The CdO can be produced through a Cd(OH) by annealing which is a very well-established topic; we exposed that it is also possible to obtain CdO by annealing from Cd(O2)0.88(OH)0.24. Moreover, it ispossible to obtain a cadmium chalcogenide films from a Cd(O2)0.88(OH)0.24 employing a post-treatment. An important issue for the development of semiconductor films is the thickness control. It is known that the SILAR-CBD technique presents an easy way to control the film thickness. In this work, Cd(O2)0.88(OH)0.24 films have been obtained by SILAR technique; three different options of cadmium salts were used. For SILAR technique the films characteristics are strongly dependent on the deposition times and conditions. Therefore we looked for the experimental design that gives the best results. The films were submitted to thermal treatment at different temperatures (200, 300, 400 and 500°C) in order to produce CdO films and study the thermal effects. The structural, optical and electrical properties of the films were studied after and before of thermal annealing. From scanning electron microscopy it is observed that the cadmium oxide hydroxide is a dense film composed of small spherical grains with approximate size of 340 nm. Also we study the growth kinetics where a linear behavior was found (21.4 nm/cycle). After the annealing process it is observed that the superficial roughness was reduced with increasing of annealing temperature. Using Energy Dispersive X-ray analysis it was found that the annealing treatment induces a non-stoichiometric composition of CdO; which presents more cadmium than oxygen. X-ray diffraction patterns of the precursor film; clearly show the thermal conversion reaction of Cd(O2)0.88(OH)0.24 film into CdO. Diffusive reflectance spectroscopy shown a sharp absorption increase around the photon energy of 2.5 Ev for CdO films. Hall measurements detected that the resistivity decreases when the temperature of annealing rises, reaching a minimum value of 5.536 x10-3 Ω-cm for 500°C(CdO type-n). The original ammonia free SILAR root for production of Cd(O2)0.88(OH)0.24 and CdO films was found. The technology involved is attractively simple and cheap.

TF-TuP-15 Atomically-resolved Orientational Ordering of C60 Molecules on Epitaxial Graphene on Cu(111)
Minbok Jung, Dongbin Shin, So-Dam Sohn, Soon-Yong Kwon, Noejung Park (UNIST); Hyung-Joon Shin (UNIST, Republic of Korea)
The interaction between molecular adsorbates and graphene is one of the fundamental issues for tailoring the properties of graphene-based molecular devices, because the electronic and structural properties of molecular layers on surfaces are determined by intermolecular and molecule-substrate interactions. Here, we present the atomically resolved experimental measurements of the self-assembled fullerene molecules on single-layer graphene on a Cu(111). Fullerene molecules form a (4 x 4) superstructure on graphene/Cu(111), revealing only single molecular orientation. We can resolve the exact adsorption site and the configuration of fullerene by means of low-temperature scanning tunnelling microscopy (LT-STM) and density functional theory (DFT) calculations. The adsorption orientation can be explained in terms of the competition between intermolecular interaction and molecule-substrate interaction, where strong Coulomb interactions among the fullerenes determine the in-plane orientation of the fullerene. Our results provide important implications for developing carbon- based organic devices using a graphene template in future.
TF-TuP-16 Effects of H2 Plasma Treatment on the Electrical and Optical Properties Titanium Doped Indium Oxide Films Synthesized by Polymer Assisted Deposition Method
Joo-Sang Hwang (Sunchon National University, Republic of Korea); Sujay Kumar (Kongju National Unversity); Jihoon Kim (Kongju National University); Ji-Myon Lee (Sunchon National University, Republic of Korea)

The In2O3-based TCOs were very useful films that are possible to form various TCOs (ITO, IGZO, IZTO) by doping and showed good electrical and optical properties. Titanium doped InO have been used in a dye-sensitized [1] and organic solar cell [2], respectively, because it has a low sheet resistance, aside from its high near-IR transmittance [3]. This paper reports the effects of H2 plasma on the optical and electrical properties of Ti-doped In2O3 grown on a glass substrate by polymer assisted deposition. The samples were exposed to an inductively coupled (ICP) hydrogen plasma with varying the ICP source power during 1 min.

The sheet resistance of the Ti doped In2O3 films was observed with increasing ICP source power. While the as-deposited sample showed a high sheet resistance of 11000 ohm/sq, the sample which was treated by hydrogen plasma exhibited significantly lower sheet resistance compared to the as-deposited specimen. Especially, the lowest sheet resistance of 210 ohm/sq at an ICP source power of 15 W could be achieved without deteriorating the surface morphology. Based on these results, we will report detailed results and mechanism about the enhanced optical and electrical properties of the hydrogen plasma treated Ti-doped In2O3.

[1] J. H. Heo, IEEE Trans. Plasma Sci., 37, 8 (2009)

[2] J. A. Jeong, Sol. Energy Mater. Sol. Cells 122, 241 (2014)

[3] D. W. Han, Symp. On Discharges and Electrical Insulation in Vacuum, pp. 597 (Bucharest, 2008)

TF-TuP-17 Novel Fabrication of Flexible Graphene-basedChemical Sensors with Heaters using SoftLithographic Patterning Method
Jongsun Lim (Korea Research Institute of Chemical Technology, Republic of Korea)
We have fabricated graphene-based chemical sensors with flexible heaters for the highly-sensitive detection of specific gases. We believe that increasing the temperature of the graphene surface significantly enhanced the electrical signal change of the graphene-based channel, and reduced the recovery time needed to obtain a normal state of equilibrium. In addition, a simple and efficient soft lithographic patterning process was developed via surface

energy modification for advanced, graphene-based flexible devices, such as gas sensors. As a proof of concept, we demonstrated the high sensitivity of NO2 gas sensors based on graphene nanosheets. These devices were fabricated using a simple soft-lithographic patterning method, where flexible graphene heaters adjacent to the channel of sensing graphene were utilized to control graphene temperature.

TF-TuP-18 Field-induced Confinement and Quantum Transport in Graphene
Satoshi Moriyama (National Institute for Materials Science, Japan); Yoshifumi Morita (Gunma University, Japan); Eiichiro Watanabe, Daiju Tsuya (National Institute for Materials Science, Japan)

Field-induced Confinement and Quantum Transport in Graphene

Satoshi Moriyama1,*, Yoshifumi Morita2, Eiichiro Watanabe3, Daiju Tsuya3

1 International Center for Materials Nanoarchitectonics (WPI-MANA), National Institute for Materials Science (NIMS),

1-1 Namiki, Tsukuba, Ibaraki 305-0044, Japan.

2 Faculty of Engineering, Gunma University, Kiryu, Gunma 376-8515, Japan.

3 Nanotechnology Innovation Station, NIMS, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047, Japan.

MORIYAMA.Satoshi@nims.go.jp [mailto:MORIYAMA.Satoshi@nims.go.jp]

Graphene consists of a single atomic layer of carbon atoms and has provided a new stage for studying low dimensional physics. The corresponding energy dispersion adopts the so-called Dirac cone, which leads to a massless Dirac-particle and relativistic quantum physics in a condensed matter. From the application point of view, the ballistic transport and high mobility in graphene make them possible candidates for future nanodevices, such as integrated quantum-dot (QD) devices. However, confining massless Dirac fermions in graphene is difficult due to Klein tunneling and the zero-band-gap electronic structure. Therefore, although attempts have been made to design graphene QD devices, they often suffer from severe design limitations. They basically consist of small QD islands, which confine electrons geometrically, to which narrow graphene-constrictions are connected. We have also demonstrated double QD devices, which exhibits single-electron transport of two lateral QDs coupled in series [1]. In this case, the device performance has been limited due to detailed constriction and edge orientation. It is crucially important to develop other methods of creating graphene nanostructures and control the constrictions.

In this paper, we report an alternative approach to confine the massless carriers in graphene, in which graphene mesoscopic structures are perfectly isolated and metallic contacts are directly deposited onto them without constrictions. We show an experimental demonstration of a magnetic-field-induced quantum confinement in the graphene device. There are several theoretical scenarios for field-induced confinement of massless Dirac fermions, such as the confinement by inhomogeneous magnetic fields. Here, the confinement in our device is induced by both a uniform magnetic field perpendicular to the graphene sheet and an electrostatic surface-potential formed by the metal/graphene junction. Our experimental results indicate that a quantum confinement–deconfinement transition is controlled by the magnetic field [2].

[1] S. Moriyama et al., Nano Lett. 9, 2891 (2009).

[2] S. Moriyama et al., Appl. Phys. Lett. 104, 053108 (2014).

TF-TuP-19 Ehanced Electrical Properties of Patterned Graphene-Embedded Indium Tin Oxide Transparent Conductive Elecrode
Shin Kim, Jae-Kwan Kim, Ji-Myon Lee (Sunchon National University, Republic of Korea)

Graphene has attracted a great attention by many researchers in terms of its interesting electrical, optical, and mechanical properties [1]. Furthermore, graphene has showed potential applications such as photovoltaics [2] and optical device [3] as well as transparent electrode[3]. This paper reports the deposition of graphene-embedded indium tin oxide (ITO). Specially, we will show a pattering of graphene which was embedded in ITO will enhance the electrical and optical properties of ITO. ITO films with a thickness of 50 nm were deposited on Corning glass substrate by direct current magnetron sputtering method using an ITO target at room temperature. The graphene samples employed in this work were grown on Cu foils by thermal chemical vapor deposition method. The graphene was transferred to ITO and then patterned with line shape (3um and 12um, respectively) using photoresist. After the dry-etching by using O2 plasma, ITO was deposited on the patterned graphene again. The sheet resistance and resistivity of the sample were recorded with each fabricating steps. The sheet resistance of ITO film with a thickness of 100 nm showed a 92 ohm/sq. ITO film that the sheet of graphene was embedded in showed a decreased resistance of about 80 ohm/sq. On the other hand, the sheet resistance of ITO films that patterned graphene embedded in was significantly decreased to as low as about 51 and 49 ohm/sq for 3 um and 12 um line shaped graphene embedded ITO, respectively.

In this presentation, we will report the detailed results and mechanism about the enhanced electrical properties of the graphene embedded ITO films.

[1] K. S. Novoselov, Science 306, 666-669 (2004).

[2] L. Gomez De Arco, ACS Nano 4, 2864-2873 (2010)

[3] F. Bonaccorso, Nat. Photonics 4, 612-622 (2010)

TF-TuP-20 Evaluation of Diamond-Like Carbon Films that Enable Observation of Living Cells by Electron Microscopy
Tomotaka Kozuki (Hiroshima International University, Japan); Norio Nawachi (Hiroshima Prefectural Technology Research Institute)

In conventional scanning electron microscopy (SEM), the specimen to be observed must be placed in a vacuum. Consequently, observation of living cells has been extremely difficult. In recent years, a method has been proposed to solve this problem and enable observation of samples under atmospheric pressure. In this method, observation in air is accomplished by introducing an electron beam into air from an electron gun located in a vacuum by using silicon nitride (SiN) as an electron transmission film that separates the vacuum and air. However, the resolution is not entirely satisfactory. We therefore noted that diamond-like carbon (DLC) films, in which the primary constituent is carbon, offer both excellent electron transmissivity and sufficient strength to withstand atmospheric pressure.

An electron transmission film that uses DLC was fabricated by forming a DLC film on a silicon (Si) substrate and then etching the Si component from the back side[1]. We compared the electron transmissivity of a DLC film fabricated by this method with commercial SiN. For a 200-nm-thick DLC film, transmission started at an accelerating voltage of 3 keV, with over 95% of electrons being transmitted at 8 keV. For a SiN film of the same thickness, although transmission started at an accelerating voltage of 4 keV, an accelerating voltage of over 15 keV was required in order to reach a transmissivity of 95%. Furthermore, at an accelerating voltage of 12 keV, the transmissivity was approximately 75% for both a 500-nm-thick SiN film and a 1-μm-thick DLC film, showing that a DLC film of approximately twice the thickness of a SiN film could achieve electron transmissivity of 75%.

Next, a 1-μm-thick DLC film or a 500-nm-thick SiN film was used as the electron transmission film for observation of a metal mesh by SEM. Although the electron transmissivity was virtually the same at the accelerating voltage of 12 keV, the image obtained when using the DLC film was significantly clearer. This is attributed to the DLC consisting of lighter elements, and thus producing less electron scattering in the film. By using these characteristics of DLC electron transmission films, we succeeded in observing yeast under atmospheric pressure at 10,000× magnification by SEM.

By using a DLC film as an electron transmission film, we thus succeeded in obtaining clearer images at lower accelerating voltages as compared with existing electron transmission films. Taken together, the results of this study suggest tremendous potential for the use of DLC films in medicine, particular for biopsy.

[1] T.Kozuki, et al., Int. J. of Space-Based and Situated Computing, Vol.3, No.1, pp.1 – 7(2013)

TF-TuP-21 Chemistry of Active Oxygen in RuOx and Its Influence on the Atomic Layer Deposition of TiO2 Films
Woojin Jeon, Woongkyu Lee, Cheol Hyun An, Min Jung Chung, Cheol Seong Hwang (Seoul National University, Republic of Korea)

Atomic layer deposition (ALD) is a highly intriguing thin-film growth technique with self-regulating and self-terminating properties due to the saturated chemical reactions between the adsorption sites and the chemically adsorbing precursor molecules. In such ALD processes, the substrate plays a crucial role by taking part in the ALD-specific chemical reactions. The surface of the substrate must provide the chemical adsorption sites, which would anchor the incoming metal or non-metal precursors via the ligand exchange reactions. There are other cases, however, where the oxygen ions (or atoms) inside the bottom layer film play the crucial role in the film growth. Typical example is Ru (and RuO2), which are the material of choice as the capacitor electrode in futuristic DRAM. Recent reports on the inducing of rutile phase formation in the atomic layer deposition (ALD) of TiO2 films, which have the highest dielectric constant among the binary dielectric oxides, by adopting Ru or RuO2 as the bottom electrode invokes an even higher interest in this material. While both Ru and RuO2 electrode have similar electrical properties, the initial stage of deposition of TiO2 film by ALD exhibited quite different behavior on each substrate due to their different oxygen contents. In this study, the initial growth behavior of ALD TiO2 film on Ru and RuO2 substrate with various oxygen contents has been investigated in a greater detail, which has not been explored previously. Ru, RuOx (x ~ 1) and RuO2 films were grown by the cycling pulsed chemical vapor deposition process at a substrate temperature of 230 oC using RuO4 and H2(5%)/N2(95%) as the Ru-precursor and reducing agent. The phase control was achieved by controlling the injection time of H2(5%)/N2(95%) gas for the given RuO4 injection condition.

It was found that the growth per cycle of TiO2 at the initial growth stage was drastically increased on RuOx (RuO2/Ru mixture) compared to Ru and even RuO2, although it has been reported that higher oxygen content film (RuO2) promotes the initial growth of TiO2. This is attributed to the drastic increase in the chemical activity of oxygen in the mixture film of RuO2/Ru. The catalytic decomposition of RuO2 with the help of Ru in the film played the crucial role for the increase in the active oxygen. While RuO2 and Ru mostly retained their structures during the ALD of TiO2 or chemical etching using O3 gas, the RuOx film, which was composed of 56% RuO2 and 44% Ru, drastically changed its phase composition during the ALD of TiO2 at 250 °C and became almost Ru. Other chemical effects depending on the chemical composition and phase structure were also examined in detail.

TF-TuP-22 Effects of Double Active Layer and Acetic Acid Stabilizer on the Electrical Properties of Solution-processed Zinc Tin Oxide Thin Film Transistor.
Jihun Shin, Sangjo Kim, Seungsoo Ha, Yongjin Im, Chanhee Park, Moonsuk Yi (Pusan National University, Republic of Korea)

We investigated the effect of double active layer and acetic acid stabilizer for zinc tin oxide thin film transistor (TFTs) fabricated using solution processes.

Double active layer was composed of two layers made by ZTO solution doped with different Sn concentration (Sn 30 atomic % or Sn 60 atomic %). ZTO solutions were synthesized by dissolving zinc acetate dehydrate and tin chloride dehydrate which were dissolved in 2 different stabilizer solutions. AA solution was prepared with 2-methoxyethanol added with acetic acid, where ME solution was prepared with 2-methoxyethanol added with mono-ethanolamine. Thus the AA-ZTO films and ME-ZTO films represent ZTO active layer films formed from AA solution and ME solution, respectively.

The bottom-gate TFTs were fabricated on highly doped n-type silicon wafer which is covered with 200nm SiO2 layer as a gate insulator. Bottom active layer of ZTO film was deposited on the gate oxide layer by spin-coating the solution at room temperature, drying at 300 °C for 10 min, and the top active layer of ZTO film was made on the bottom ZTO film by same method and then annealing at 500 °C for 60 min. Fig. 1 shows the schematics of the double active layer ZTO TFT.

By adding acetic acid into the stabilizer solution instead of commonly used mono-ethanolamine, electrical performance of ZTO TFTs is enhanced. The XPS and TG-DTA(thermogravimetry differential thermal analysis) data shown in Fig. 2 and 3, have demonstrated that acetic acid plays a role in lowering decomposition temperature and reducing hydroxyl groups in the film.

By using double active layer (bottom layer: Sn 60 at. %, top layer: Sn 30 at. %) in ZTO TFTs, the electrical performance is enhanced. We demonstrated that the bottom active layer supplied electron carriers easily from high Sn concentration, and the top ZTO layer suppressed the leakage current of TFTs because it has relatively lower carrier concentration than the bottom ZTO layer.

The best performances were obtained at Sn concentration of 60 at. % in bottom ZTO layer and 30 at.% in top ZTO layer with the added acetic acid as a stabilizer, where the ZTO TFT exhibited an on/off ratio of 1.1×109, a saturation mobility of 5.04 cm2/V·s, a subthreshold slope of 0.11 V/decade, and a threshold voltage of 1.6 V. Fig. 4 depicts the transfer curves of the TFTs and the summarized electrical parameters of the ZTO TFTs were shown in Table 1.

TF-TuP-23 Effect of Ge Concentration on Electrical Performance of Ge-doped InZnO thin-film transistor
Yongjin Im, Jihun Shin, Sangjo Kim, Seungsoo Ha, Chanhee Park, Moonsuk Yi (Pusan National University, Republic of Korea)

We fabricated amorphous oxide semiconductor thin-film transistors (TFTs) using GeO2-doped InZnO (Ge-IZO) thin films as active-channel layers. The Ge-IZO thin films were deposited at room temperature by radio-frequency (RF) magnetron co-sputtering, and then annealed in air for 1 h at 300°C. Some processing parameters such as sputtering oxygen partial pressure [O2/(Ar + O2)] and sputtering power for GeO2 target were changed to investigate what was the optimal amount of Ge in the Ge-IZO active layer.

The cross-sectional schematic of Ge-IZO TFT and a FE-SEM image of Ge-IZO thin film studied in this paper are shown in Fig. 1, and the electrical performance of Ge-IZO TFT is compared with IZO TFT in Fig. 2.

A small concentration of Ge added to IZO by co-sputtering with less than 5W of GeO2 target power enhanced the saturation mobility μsat. The decrease of μsat at high power of GeO2 target in Fig. 2 was caused by the increased disorder in case of the high power of GeO2 target. Threshold voltages (Vth) in Fig. 2 increases with increase in GeO2 target power until 20W; thereafter, it decreases. This result should be inversely related to the carrier concentration, which indicates that a small concentration of Ge can lead to retention of its strong bonding with oxygen, thereby suppressing the excessive oxygen vacancies. This is the reason why Vth increases. Higher Ge-concentration can lead to the greater disorder and this explains why Vth decreases with increase in Ge concentration.

The device performance was better when low concentration of Ge is incorporated to IZO than with pure IZO or high Ge concentration. In order to optimize the electrical properties of Ge-IZO TFTs, we tried to adjust the processing parameters and the best Ge-IZO TFT was obtained at a co-sputtering oxygen partial pressure of 2% and GeO2 target power of 10 W.

The fabricated Ge-IZO TFT exhibited an on/off ratio of 3.0×107, a saturation mobility of 13.6 cm2/V·s, a subthreshold swing of 0.98 V/dec, and a threshold voltage of -0.8 V. XPS and XRD analyses of Ge-IZO films were performed to investigate the binding energies of atoms in Ge-IZO films and the crystallinity of the films, and a 90% transmittance of visible light was achieved, which makes the technology useful for transparent devices.

TF-TuP-24 Active Multiband Terahertz Metamaterial Spatial Filters Based on Vanadium Dioxide Thin Films
Han-Cheol Ryu (Sahmyook University, Republic of Korea); Jun-Hwan Shin, KyungHyun Park (ETRI)
This work presents the design, fabrication and terahertz performance of active multiband metamaterial spatial filters based on vanadium dioxide (VO2) thin films. VO2 thin films were deposited on Al2O3 (0001) substrates by the pulsed laser deposition method. Epitaxial relations between VO2 thin films and Al2O3 (0001) substrates were investigated using X-ray diffraction measurement and transmission electron microscopy observations. The multiband terahertz metamaterial spatial filters, which are artificial electromagnetic structures, can manipulate electromagnetic response at desired frequency bands. They were designed by electromagnetic simulator and fabricated on VO2 thin films which are phase transition materials due to their insulator-metal transition at a critical temperature. The terahertz characteristics of the fabricated active filters were controlled by electric bias or temperature variation. The tunable frequency responses of the devices were measured by using terahertz time-domain spectroscopy (THz-TDS) system. The measured tunable characteristics of the active terahertz filters based on VO2 thin films clearly show the possibility for the various tunable terahertz applications such as modulators, filters, and sensors.
TF-TuP-26 Enhancement of SiO2/4H-SiC Interface Properties using ALD Oxides and Nitridation
Changhyun Kim, Suhyeong Lee, Hunhee Lee, Hyunwoo Kim, HongJeon Kang, HyeongJoon Kim (Seoul National University, Korea, Republic of Korea)

4H-SiC is a promising wide band gap material which has excellent properties such as high breakdown voltage, high thermal conductivity, and high saturation drift velocity. These characteristics enable 4H-SiC to be used in difficult environments for Si such as processes require high power, high current, high temperature. It also has an advantage in fabrication process because of its native SiO2 from thermal oxidation. However, carbon components are inevitably produced during oxidation, causing high SiO2/SiC interface state density (Dit) and low channel mobility. The nitridation using NO or N2O gas is an effective way to lower Dit and near interface trap density of a SiO2/4H-SiC interface.

In this work, we prepared the atomic layer deposited (ALD) SiO2 with NO post oxidation annealing (POA) to avoid interface oxidation and improve interface properties. We also compared electrical properties of NO POA treated ALD SiO2 with thermally grown oxides with/without NO POA. The NO POA treated ALD SiO2 showed much lower Dit than thermally grown oxides. Also, the metal-oxide-semiconductor (MOS) field effect transistor (FET) with the ALD SiO2 showed high field effect mobility, especially in the high electric field region.

But these methods could not reach the sufficient passivation of the interface traps due to reoxidation by oxygen source of NO gas. Therefore, we employed thin ALD SiO2 layer with NH3 POA for nitridation without reoxidation. Because the NH3 POA oxide had low breakdown field (Eb), we adopted a stacked structure of ALD SiO2 with NH3 POA and ALD SiO2 to exclude oxidation and to improve Eb, respectively. Inert gas annealing or ozone treatment were used to reduce the defects of upper as-deposited SiO2. Oxygen vacancies, a major defect of as-deposited oxide, were effectively reduced by the O3 treatment. The ALD SiO2 with NH3 POA lowered C-V hysteresis and increased the slope of C-V curve, indicating that it reduces the interface defects. It also had low Dit which might be caused by the suppression of reoxidation. The O3 treatment increased Eb effectively, but it did not reached Eb of thermally grown oxide yet.

In conclusion, ALD oxides with proper nitridation process improved the field effect mobility of MOSFET more effectively than thermal one through decreasing Dit induced by carbon component at the SiO2/4H-SiC interface. The NH3 POA instead of NO POA showed low Dit by suppressing of reoxidation, but low Eb problem remains. The O3 treated upper ALD SiO2 increased Eb maintaining low Dit.

TF-TuP-27 Molecular Beam Epitaxy and Characterization of Cubic-Phase InN and GaN Films
Maximo Lopez-Lopez (Physics Department, Cinvestav-IPN, Mexico)

Group-III nitrides have become one of the most important semiconductor materials in the field of optoelectronic and microelectronic devices. Recently, great interest in III-nitrides with cubic phase has risen due to the absence of built-in electric fields, which can limit the performance of devices. However, the cubic-phase in III-N semiconductors is metastable and can only be grown in a narrow window of conditions. Under non-optimum conditions phase mixing between the metastable cubic (c ) and stable hexagonal phases (h) may occur. In this work we present the growth of c-InN films on GaAs(001) substrates using conventional molecular beam epitaxy (MBE) and migration enhanced epitaxy (MEE) techniques. Also, we presented the growth of c-GaN films on GaAs(001) substrates by conventional MBE, using during the nucleation of c-GaN an As overpressure at different substrate temperatures. In order to promote the formation of c-InN, an InN nucleating layer by alternated deposition of In and N was carried out at a growth temperature (Tg) of 380 °C. After the growth of the nucleating layer, c-InN films were grown using one of the two methods: 1) conventional MBE growth, where the growth surface is exposed simultaneously to both elements In and N and, 2) MEE growth, that proceeds by alternated periods of In and N of 5 s each one. Employing these two methods, different samples were prepared by varying Tg and the flux of In atoms. On the other hand, we found that the use of an As overpressure during the nucleation of c-GaN plays a very important role in the enhancement of crystalline quality and surface morphology of GaN films. We present structural and morphological properties of the films and the dynamics of growth analyzed by reflection high-energy electron diffraction (RHEED). We achieved to grow InN and GaN with cubic phase, as confirmed by RHEED, X-ray diffraction and transmission electron microscopy (TEM). For the growth of c-InN the better structural properties and higher cubic phase purity were obtained by the MEE technique. For the growth of c-GaN, we found that the films nucleated at 600 °C present low roughness and the best crystalline quality with a small incorporation of the hexagonal phase, which was identified by reciprocal space maps (RSM) and TEM.

† This work was partially supported by SENER-CONACYT No. 151076

TF-TuP-28 Degradation of Phosphorescent Organic Light Emitting Material by Uv Exposure for Cathode Sputtering Process
Chan-Jae Lee (Korea Electronics Technology Institute (KETI), Republic of Korea); Eun-Ji Um, Min-Gi Kwak (Korea Electronics Technology Institute (KETI))

Organic light emitting diodes (OLEDs) have attracted great attention due to its advantages such as light weight, short response time, low power consumption, wide color gamut, wide viewing angle and high brightness in the area of display and lighting.

OLEDs have two electrodes, anode and cathode. Anode is fabricated by sputter and photolithography process but the cathode is deposited by thermal evaporation method with shadow mask. Thermal evaporation process with large size mother glass is difficult to obtain the uniform and high quality thin film. So to improve size and quality of OLED, various sputtering methods for cathode have been suggested.

Sputtering is a process whereby atoms are ejected from a target material due to bombardment of the target by energetic particles. This process can make the prolonged ion, plasma bombardment of a material and ultra violet (UV) radiation. Generally, organic materials are known as the very weak materials under plasma environment. Especially, bombardment has been pointed out a critical issues as it penetrates into the organic layer and breaks off the bonding of molecules. So, some researchers have suggested a facing target sputtering method that is the two targets are facing and substrate is placed in the vertical direction with two facing targets. So it is possible to reduce the damage of organic layer on the substrate, since the direction of the energetic ions can be changed.

Plasma also creates a cause UV radiation, which its influence against organic material is well known as make the quantum efficiency of organic materials to deteriorate. But in the facing target sputtering method, UV influence against emissive organic material is not known clearly yet.

In this study, we analyzed the degradation of phosphorescent emissive materials by UV exposure for cathode sputtering process. To make the environment of UV exposure, we placed the sample in RF sputtering system. And sample with phosphorescent emissive material, 1,3-Bis(N-carbazolyl)benzene (mCP) and tris(2-phenylpyridine)iridium (Ir(ppy)3) were placed with different directions and distances from Al target. Farther the distance in the vertical direction of the target, damage is lower by UV. After Al deposition with 100nm thick, PL intensity of organic material by direction deposition is decreased by 70% compared to the sample without Al. But sample that is placed away from the target as much as 1.5 times of the length of the target and in vertical direction to target was reduced by 20% PL intensity. Also we analyzed the critical wavelength of UV radiation against phosphorescent emissive material mCP and Ir(ppy)3.

TF-TuP-29 Anisotropic Ohmic Contact to A-Plane P-Type GaN using Ga2O3/Ni/Au
Jae-Kwan Kim, KyungHo Kang, Ji-Myon Lee (Sunchon National University, Republic of Korea)

GaN is a strong candidate for high temperature, high power, and high-frequency applications with high thermal conductivity and a wide band gap of about 3.4 eV at room temperature. GaN semiconductors have been studied for light-emitting diodes(LEDs), laser diodes(LDs) in the spectral range of blue wavelengths. However, the quantum confined stark effect due to electrostatic field induced polarization is happening in GaN device. Therefore, some researchers are interested in the study of the growth and fabrication of nonpolar GaN in order to increase the quantum efficiency by suppressing a phenomenon associated with this. In this work, by using a-plane GaN, we studied ohmic contact properties of p-GaN.

A-plane p-GaN grown on r-plane sapphire substrate was used in this study. Ga2O3 was deposited on p-GaN using the RF-sputter system and then, H2 plasma treatment was conducted in the inductively coupled plasma system. Ni/Au ohmic metal was deposited using the e-beam evaporator. The angled transfer line method (A-TLM) pattern was used for measuring the current-voltage characteristics and contact resistance. The specific contact resistance of a-plane GaN showed a different value depending on the crystal direction, which is ~ 10-3 Ωcm2 and low 10-2 Ωcm2 for m-plane and a-plane direction, respectively. I-V curve also showed anisotropic characteristics depending on the crystal direction. More detailed contact resistance and resultant electrical property of non-polar p-GaN using Ga2O3/Ni/Au contact will be reported in this presentation.
TF-TuP-30 Initial Stage Growth of GaAsSe layers on Sb-terminated Si(110) Surfaces by Metal-Organic Molecular Beam Epitaxy
Masataka Sato, Yuhei Suzuki, Kohei Miyazawa, Kentaro Obara, Katsuhiro Uesugi (Muroran Institute of Technology, Japan)

We report on the heteroepitaxial growth of GaAsSe layers on Si(110) substrates by metal-organic molecular beam epitaxy (MOMBE). The growth process of GaAsSe was characterized by reflection high energy electron diffraction (RHEED), atomic force microscopy (AFM), and transmission electron microscopy (TEM).

The dilute HF treated Si(110) substrates were thermally cleaned at 550-600°C for 20 min in a flow of the precursor trisdimethylaminoarsenic (TDMAAs). Atomically flat Si(110) surfaces were successfully fabricated at substrate temperatures as low as 600°C under TDMAAs pressure. After the growth of 10nm-thick GaAsSe layers on TDMAAs-treated substrates, the surfaces were covered with high density dot structures, presumably due to the Stranski-Krastanov (S-K) growth mode. The diameter and density of GaAsSe dots were about 40nm and 8x1010 cm-2, respectively. As the GaAsSe thickness increases, the formation of giant dots and polycrystalline structures due to coalescence of S-K dots was observed. Then we investigate the influence of V/III flux ratio and the effect of Sb surfactant on the initial growth process of GaAsSe on Si(110) substrates. Polycrystallization of GaAsSe layers has been suppressed using the small V/III ratio of about 2. Furthermore, trisdimethylaminoantimony (TDMASb) treatment of As-terminated Si(110) substrate surfaces at 520°C greatly reduces the three-dimensional island growth due to the surfactant effect of Sb on the Si(110) surface.

TF-TuP-31 Characterization of Diamond-Like Carbon Prepared by High Power Impulse Magnetron Sputtering
Norio Nawachi (West Region Industrial Research Center, Hiroshima Prefectural Technology Research Institute, Japan); Koichi Itoh, Yosuke Isagi (West Region Industrial Research Center, Hiroshima Prefectural Technology Research Institute); Keishi Okamoto (Toyo Advanced Technologies Co., Ltd., Japan); Tatsuyuki Nakatani (Research Institute of Technology, Okayama University of Science, Japan)

Diamond-like carbon (DLC) has been considered as a coating for medical devices due to its biocompatibility. In particular, DLC coatings for dental implant made by titanium base alloy are useful as a means of providing high-hardness and a low-friction coefficient. Usually DLC films are deposited by cathodic arc (CA), plasma-enhanced chemical vapor deposition (PECVD), direct current (DC) or radio-frequency (RF) magnetron sputtering (MS). However, the problem is that DLC films deposited by CA show intrinsic growth defects (droplets). On the other hand, DLC films deposited by DC or RFMS can exhibit smooth surfaces, but adhesion strength of the films is a challenging issue[1, 2]. In recent years, a new magnetron sputtering technology named high power impulse magnetron sputtering (HiPIMS) has emerged. HiPIMS allows production of droplet free highly ionized metal flux generated from a sputter source[3, 4].

In this work, the characteristics of DLC films deposited by HiPIMS have been investigated. DLC films were prepared on silicon (Si) by HiPIMS and DCMS for comparison. Depositions were performed from a graphite target (200mm in diameter) operated at maximum power of 3 kW and at chamber pressure of 0.5 Pa. The same experimental arrangement was used for the DCMS depositions. The DLC films were analyzed by various methods.

In the HiPIMS discharge, the target voltage and peak current were approximately -900V and 200 A, respectively, at a repetition frequency of 1 kHz with a pulse width of 50 μs. The deposition rate in HiPIMS was approximately 50 % lower (23 nm/min) than that in DCMS. Mechanical properties of DLC films prepared by HiPIMS and DCMS will be presented and discussed.

References

[1]M. Lattemann, A. P. Ehiasarian, J. Bohlmark, P. A. O. Persson, Surf. Coat. Technol. 200 (2006) 6495.

[2]T. Sasaki, S. Abusuilik, K. Inoue, Proc. 12th Int. Symp. On Sputtering and Plasma Processes, Kyoto, Japan, 2013, p. 37.

[3]V. Kouznetsov, K. Macak, J. M. Schneider, U. Helmersson, I. Petrov, Surf. Coat. Technol. 122 (1999) 290.

[4]J. T. Gudmundsson, N. Brenning, D. Lundin, U. Helmersson, J. Vac. Sci. Technol. A 30 (2012) 030801.
TF-TuP-32 UHV-STM Study on the Formation of Si(3 3 7)-4x1
Tai-Long Quan, Yu-Bin Song, Chao Zhang, Yuan Li, Yong-Zhe Zhu (Department of Physics, Yanbian University, Yanji city, 133000, China)

In the process of one-dimensional metal wire growth on the Si(5 5 12) surface with ultra-high vacuum scanning tunneling microscopy, Si(3 3 7)-4 × 1 structure is discovered. It is found that Si(3 3 7)-4 × 1 is a ultra stable structure with low defect density. It can be expected as a good template for nanostructure growth. However, up to now, there is no routine method to fabricate Si(3 3 7)-4 × 1. Therefore, it is necessary to study the formation reasons of Si(3 3 7)-4 × 1 surface and then fabricate this structure. The possibility is considered in two aspects: Firstly, is it induced only by high temperature annealing? Secondly, is it due to the residual C existed in the UHV-STM chamber? For this purpose, results from four experimental studies are analyzed: O ne is heating several kind s of Si(5 5 12) vicinal surface at high temperatures, and the others are adsorbing Ge, C2H2, and O2 on Si(5 5 12)-2 × 1 surface, respectively. The orientation dependence of this kind of structural phase transition from Si(5 5 12)-2 × 1 to Si(3 3 7)-4 × 1 has been studied as well. To achieve this structural phase transition, an energy barrier needs to be overcome, and a large amount of atoms must be moved to meet the orientation difference, which is performed by surface melting process via high temperature annealing. This phase transition has the orientation dependence but is not induced by the adsorption of carbon. This research was supported by the National Natural Science Foundation of China (Grant No. 10964014).

References:

【1】A. A. Baski, et al., A Stable High-Index Surface of Silicon: Si(5 5 12), Science, 1995, 269, 1556

【2】Yong-Zhe Zhu, et al., Scanning Tunneling Microscopy Study on the Ultrastable Si(3 3 7)-4×1 Terrace Formed by Annealing Si(5 5 12)-2×1 at an Elevated Temperature, Journal of the Korean Physical Society, 2010, Vol. 57, No. 1, 120

【3】Hidong Kim, et al., Origin of ordered two-dimensional structure of Si(337)-4x1 transformed from Si(5 5 12)-2x1,Phys. Rev. B, 2010, 81, 245422

TF-TuP-33 Etch Characteristics of Co­2MnSi Thin Films in CH4/O2/Ar Plasmas
SuMin Hwang, Adrian Garay Dixon, JiHyun Choi, CheeWon Chung (Inha University)

In the semiconductor memory device field, for the next generation it is a big challenge to develop a memory device that has fast speed of read and write, high density of memory storage and non-volatility. Nowadays, Magnetic random access memory (MRAM), one of the possible candidates for non-volatile random access memory (NVRAM), has a received great deal of attention due to its several advantages.

Magnetic random access memory (MRAM) is a hybrid technology between a spintronic device and standard silicon-based microelectronics. Compared to commercial memory devices such as DRAM, SRAM and flash memory, based on charge storage, MRAM devices store data by employing the magnetoresistance effect. MRAM consists of a magnetic tunnel junction (MTJ) stack and a complementary metal-oxide semiconductor (CMOS). MTJ stack is an important part of the MRAM and it is composed of various magnetic materials, metals, and a tunneling barrier layer.

The etching of magnetic materials such as NiFe, NiFeCo, CoFe, CoFeB and FePt using halogen containing gas have been widely researched. However, halogen gases chemistries tend to produce non-volatile etch by products that can cause the corrosion of the magnetic materials after etching. Recently, Co2MnSi co-heusler alloy was used as a magnetic material because of high spin polarization and a high Curie temperature (~985K). Furthermore, several researches have reported that the etching of magnetic materials by C, H, O containing gases can produce a vertical etch profile with no post etching redeposition. Therefore, the etch characteristics of Co2MnSi thin films using C, H, O containing gas should be developed.

In this study, the etch characteristics of Co2MnSi thin films have been investigated in CH4/O2/Ar gas mixtures using an inductively coupled plasma reactive ion etching (ICPRIE). TiN thin films were applied as a hard mask to enhance the etch selectivity. The etch rates were obtained using surface profilometer and etch profiles were observed by using the field emission scanning electron microscopy (FESEM). The condition of plasma during process was employed by optical emission spectroscopy (OES).

TF-TuP-36 Crystallization of Biodegradable Poly-[(R)-3-Hydroxybutyrate] Ultrathin Films revealed by Surface-sensitive X-ray Diffractions: Effect of Small Amount of Biodegradable Poly(L-lactide)s with Different Molecular Weights
Naotaka Torimoto, Naoki Shimatani, Raghunatha Reddy Kumetha, Isao Takahashi (Kwansei Gakuin University, Japan)
Biodegradable polymers synthesized by bacteria are environmental-friendly material. Poly-[(R)-3-hydroxybutyrate] (PHB) is one of the most intensively studied biological polymers and is also used to many applications. We investigated a new confinement effect of biodegradable poly(L-lactide) (PLLA) on crystallization of PHB ultrathin films with surface-sensitive X-ray diffractions in which PLLA with large molecular weight can reduce the crystallinity of PHB thin films effectively, although its mechanism is still not fully-understood. [1] In the present study, we expand our research in a wider range of molecular weight of PLLA as well as that of PHB, since controlling crystallinity, orientation of crystallographic axes with respect to the films surface and surface morphology of PHB thin films should be a key to controlling physical properties including thermal plasticity, brittleness and piezoelectric constant of PHB, that is quite favorable for a wider range of applications. Grazing incident X-ray diffraction (GIXD) and X-ray reflectivity (XR) are used to estimate degree of crystallization, thickness, electron density and surface roughness of polymer blend of PHB and PLLA spin-coated films deposited on silicon 100 wafers isothermally. Molecular weights of PHB were 1,000 and 650,000 g/mol, and those of PLLA were 2,000, 50,000, 100,000 and 300,000 g/mol, respectively for films with typical thicknesses of 30 nm.

[1] Xiaoli Sun, Akihisa Tokuda, Yusuke Oji, Takashi Nakatani, Hideto Tsuji, Yukihiro Ozaki, Shouke Yan, and Isao Takahashi, Macromolecules 45 (2012) 2485–2493

Keywords: biological polymer, polymer blend, crystalline polymer, X-ray surface diffraction, surface and thin films

TF-TuP-37 P3HT-based Multilayer Ultrathin Films Characterized with Surface-Sensitive X-Ray Scatterings –temperature and substrate dependence of crystalline structure-
Yusuke Shima, Ryosuke Iseiki, Junpei Takemoto, Isao Takahashi (Kwansei Gakuin University, Japan)
Regioregular poly(3-hexylthiophene) (P3HT) has attracted significant interest as one of the promising materials for organic field effect transistors (OFETs) and organic photovoltaics (OPV) to behave a p-type semiconductor with high charge mobility due to its high crystallinity. The organic thin film devices basically consist of conductive polymer films and insulating polymer films. However, maintaining well-defined interface structures is difficult when the temperature of confined system is raised by Joule’s heat. Therefore, any information on temperature dependence of interface structure of organic thin films is very important for applications. We study molecular chain orientations, crystallinity and surface morphology of P3HT thin films prepared on several different substrates at high temperatures with grazing incident X-ray diffraction (GI-XD), X-ray reflectivity (XRR), grazing incident wide angle X-ray scattering (GI-WAXS) and atomic force microscopy(AFM). The substrate we have investigated are SiOH, SiO2, C surface of SiC(0001) and Si surface of SiC(0001), and poly(4-vinylphenol) (PVPh). We found that substrate greatly affects the crystal growth of P3HT even for films thicker than 25 nm. Keywords: Poly(3-hexylthiophene) (P3HT), X-ray surface scattering, thin film, conductive polymers
TF-TuP-38 Electrical Transport Properties and Photodetection Performances of n-Type NC-FeSi2/i-UNCD/a-C/p-Type Si Heterojunction Photodiodes at Low Temperatures
Nathaporn Promros (King Mongkut’s Institute of Technology Ladkrabang, Thailand); Kenji Hanada (Kyushu University, Japan); Phongsaphak Sittimart (King Mongkut’s Institute of Technology Ladkrabang, Thailand); Motoki Takahara, Takanori Hanada, Li Chen, Tsuyoshi Yoshitake (Kyushu University, Japan)
n-Type nanocrystalline iron disilicide (NC-FeSi2)/intrinsic ultrananocrystalline diamond/amorphous carbon composite (i-UNCD/a-C)/p-type Si heterojunctions have been successfully fabricated and their current - voltage characteristics were measured at low temperatures range from 300 down to 60 K. i-UNCD/a-C and n-type NC-FeSi2 layers were deposited by coaxial arc plasma deposition and pulsed laser deposition, respectively. We investigated their carrier conduction mechanism on the basis of thermionic emission theory and their near infrared photodetection using a 6 mW, 1.31μm laser. The predominant conduction mechanism through the heterojunctions at 300 - 200 K and 200 - 60 K are recombination and tunneling processes, respectively. At 60 K, the ratio between photocurrent and dark current became three orders of magnitudes. The detectivety is 8.8 × 1011 cmHz1/2/W at -1 V, which is comparable with the values of existing NIR photodiodes at the same temperature.
TF-TuP-39 Anlnsys on Transport-Current Characteristics according to the Material Properties of the Outer Layer of REBCO Thin-Film Superconducting Wire having Composite Structure using RF Sputtering Deposition Method
Ho-Ik Du, Tae-Min Kim, Byoung-San Han, Byoung-Jung Choi, Gong-Hyun Hong (Chonbuk National University, Republic of Korea); Dong-Un An (Consultant)
To protect REBCO layers that are weak against thermal impact after quenching, REBCO thin-film superconducting wires are manufactured with a complex structure of a substrate layer (metal), a superconducting layer (ceramic), and a stabilizing layer (metal). The stabilizing layer is positioned at the outermost layer of the REBCO thin-film superconducting wire to cover the REBCO superconducting layer, and is made mainly of Ag. The stabilizing layer normally protects the REBCO superconducting layer from thermal stress. Therefore, in this paper, a REBCO thin film superconducting wire was fabricated by depositing materials with different specific resistance values (Ag, Platinum, Copper) on REBCO thin-film superconducting wire, using the “RF Sputtering Deposition Method” with micro-range thicknesses to form a outer layer. Then the fabricated REBCO thin film superconducting wire were subjected to basic characteristics tests (measurement of their temperature distribution according to their changing resistance) and over-current transport- current tests to investigate their phase transition. Finally, the results of the basic characteristics tests and the over-current transport-current tests were analyzed to present the applications of superconducting power application devices of the REBCO thin film superconducting wire according to the thickness and properties of the wire’s stabilization layer.

Keywords: REBCO Thin Film Wire, Outer Layer, RF Sputtering Deposition Method, Over-Current Properties.

TF-TuP-40 The Effects of Plating Applied on Thin Film Process to the Stress Analysis of Silicon Substrate by Simulation
Sang-Hoon Lee, Chinho Park (Yeungnam University, Republic of Korea)
Crystalline silicon is a great, low cost, cheap material and the most widely used for solar cells application. Crystalline silicon solar cells are modules built using crystalline silicon (c-Si), developed from the microelectronics technology industry. Crystalline silicon solar cells have high efficiency. To reduce the cost of manufacturing process, the plating process is analyzed by using the simulation of stress applied on the silicon substrate. In this study, the occurring of metal-silicon interface was modeled to predict the quantitatively of stress affected inside the silicon substrate. We predicted through the changing of simulation what the factors will give a large effect on the value of the results. The stress characteristic factor was found as major factor of the substance to be plated. Mechanical properties of plating materials were changed as density, Young’s modulus, and Poisson’s ratio. Thermal properties are not considered as parameters because the plating system is became the progress to isothermal process. The density and Poisson’s ratio are not expected to give greater effecting on the stress, so Young’s modulus is main factor. It was affected sensitivity on the stress of silicon substrate. Controlling the Young's modulus can be adjusted stress in the silicon substrate.
Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | PacSurf2014 Schedule