AVS2013 Session PS-MoM: Innovative Chemistries for Advanced Etch Processes

Monday, October 28, 2013 8:20 AM in Room 104 C

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule

Start Invited? Item
8:20 AM PS-MoM-1 Effects of Plasma-Induced Si Damage Structures on Annealing Process Design—Gas Chemistry Impact
Asahiko Matsuda, Yoshinori Nakakubo (Kyoto University, Japan); Masanaga Fukasawa (Sony Corporation, Japan); Yoshinori Takao, Koji Eriguchi (Kyoto University, Japan); Tetsuya Tatsumi (Sony Corporation, Japan); Kouichi Ono (Kyoto University, Japan)

Plasma-induced Si substrate damage during shallow trench isolation, 3D-fin, and gate electrode formation processes have been believed to lead to “Si recess” (Si loss) [1] and latent defect generation resulting in performance degradation of metal–oxide–semiconductor field-effect transistor (MOSFET)—an off-state leakage (power consumption) increase [2] and the drain current (chip clock-frequency) decrease [3], respectively. Various plasma gas chemistries are employed for these Si etching processes. Regarding annealing process parameters, it was reported [4] that conventional thermal budgets could no longer be applied—the annealing temperature might be primal—in particular, in the case of hydrogen-containing plasmas (HBr/O2-and H2-processes). Based on this finding, two questions may be pointed out; (1) does this temperature-dependent feature hold for other plasmas? and (2) what is the principal mechanism? To answer these questions, Si-damage formation and the following annealing mechanisms were comprehensively investigated for various plasma processes (HBr/O2, H2, Ar, and He) and annealint conditions (750–1050C) in this study. An electrical capacitance–voltage (C–V) technique was employed to quantitatively evaluate the plasma-induced defect density, and a molecular dynamics simulations was carried out to study in detail the localized defect structures.

Silicon wafers covered with thermal oxide layer (2 nm) were damaged by various plasma processes and annealed in N2 ambient with various conditions. Defect densities before and after annealing were quantified by 1/C2-based analysis. It was found that the temperature-dependent damage-recovery dynamics holds for overall plasma processes, although H2- and He-plasma damage exhibit complicated features. We speculate that both the localized defect structure with its low density (1018-1019 cm-3) and the profile in the damaged region play major role in this mechanism—being confirmed also from molecular dynamics simulations. The present findings imply that not only the defect structure but also the profile in the damaged region should be identified in advance for designing the annealing conditions in future advanced MOSFET process technologies.

[1] M. Fukasawa et al.: J. Vac. Sci. Technol. A 29, 041301 (2011).

[2] K. Eriguchi et al.: J. Vac. Sci. Technol. A 29, 041303 (2011).

[3] K. Eriguchi et al.: IEEE Electron Device Lett. EDL-30, 1275 (2009).

[4] Y. Nakakubo et al.: AVS 59th Annual International Symposium and Exhibition, PS-MoM10 (2012).

8:40 AM PS-MoM-2 Advancing Patterning Processes Further by Employing a New Gas
Sebastian Engelmann, Eric Joseph, Robert Bruce, Hiroyuki Miyazoe, William Graham, Edmund Sikorski (IBM T.J. Watson Research Center); Masahiro Nakamura, Takefumi Suzuki (Zeon Chemicals LP); Hank Matsumoto, Azumi Itou, Tim Suzuki (Zeon Corporation)

Improving patterning processes is a very crucial element of advancing microelectronics manufacturing processes. Deformation of organic soft masks is a very commonly observed phenomenon. Other issues include extensive plasma damage or mask retention for post-lithography solutions. [1]

Our team has recently introduced a new etch gas which is able to etch by selective deposition of a fluorocarbon layer, [2] analogue to the well established oxide etch mechanism commonly used in manufacturing. [3] Selective deposition was achieved by redesigning the FC etch gas, where reaction with a nitride substrate layer reduces the FC film thickness compared to silicon or oxide substrates. This mechanism was most prominently applied to the spacer module, where high selectivities to oxide and silicon substrates is required. In contrast to conventional spacer processes, an excellent resistance to PR materials opened the processing capabilities for this new gas tremendously.

We will demonstrate how this new gas can be used in patterning solutions, where a high degree of accuracy is needed. The wiggling performance of the new gas will be discussed, as well as direct patterning and/or trilayer patterning using the new gas. Lastly, we also evaluated the new gas for applications beyond optical lithography.

[1] S. Engelmann et al., Proc. SPIE 8328-9

[2] S. Engelmann et al., AVS 58th Int. Symp. & Exhibit. (2011)

[3] M. Schaepkens et al., J. Vac. Sci. Technol. A 17, 26 (1999)

9:00 AM PS-MoM-3 Advanced Etching Gas Development for High Aspect Ratio Structures
Rahul Gupta, Curt Anderson, Vijay Surla, Bastien Lefevre, Venkateswara Pallem, Nathan Stafford (Air Liquide)

In order to enable high aspect ratio etching capabilities in materials such as SiO and SiN, it is highly desirable to determine what role the plasma etch chemistry can play. Both saturated and unsaturated fluorocarbons have been introduced over the years, as well as simple hydrofluorocarbon molecules. Mixtures of the above are often employed to allow control of etching species in the plasma recipe. In this work we systematically study the role of the gas molecule structure on the etching behavior that can be achieved. The ultimate goal is to identify ideal candidate molecules that will allow achieving the future process requirements.

This study will provide a comparative study of fluorocarbon-based etch chemistries, wherein a 200mm dual-CCP tool has been employed to produce high aspect ratio structures. By studying the specific effects of H, C=C double bonds, F:C ratio, and molecule structure, we can identify relationships to the etching performance. The model chemistries for this work include both cyclic- and linear-type structures. The performance of each molecule is initially studied on blanket wafers, measuring etch rates of silicon oxide, silicon nitride, amorphous carbon, and undoped poly-Si. For selected conditions of optimized etch rate and mask selectivity, 100nm trench width patterns are also etched and examined in cross-section SEM.

In order to develop a strong correlation between etch performance and the molecule structure, we perform mass spec measurements of the gases by direct injection of the fluorocarbon gas, measuring the electron-impact fragmentation of each gas. Electron energies from 10-100 eV are recorded, and the relative abundance of each fragment species is plotted against the electron energy. By studying the dominant fragments, we observe that oxide etch rate and mask selectivity can be predicted based on the C:F ratio of majority species.
9:20 AM PS-MoM-4 Highly Selective Etch of PMMA to PS for DSA Lithography by Using Carbon Containing Gas 100 MHz CCP RIE System
Tsubasa Imamura, Hiroshi Yamamoto, Mitsuhiro Omura, Itsuko Sakai, Hisataka Hayashi (Toshiba Corporation Semiconductor Company, Japan)

As critical dimension (CD) continue to shrink, optical lithography has become increasingly difficult. Directed-self assembly (DSA) of block copolymer (BCP) is a promising candidate for a low cost 1X patterning process. CD of DSA lithography is determined by composition of the BCP. 12.5 nm hp patterns is formed using polystyrene-block-poly methyl methacrylate (PS-b-PMMA) [1]. DSA lithography process using PS-b-PMMA needs selective removal of PMMA to PS, which is called “development process”. Wet development process has enough selectivity, but there is a possibility of collapse of the remaining PS pattern. Because this problem arises due to surface tension of liquid, it is difficult to solve in principle. Dry development process has an advantage in that point. Generally, O2-based plasma is used for the PMMA removal and selectivity is around 2. Some have reported that the selectivity exceeds 4 by keeping the ion bombardment energy low in O2/Ar plasma. Also, because we use the remaining PS pattern as a mask of underlayer etch, high selectivity is needed to keep the PS pattern thick.

In this study, we focused on differences of material components of PS and PMMA. Based on that the PMMA has more oxygen in the film than in PS, we designed a new gas chemistry using carbon containing gas to realize high selective PMMA to PS etching.

Single layer film of PS and PMMA were spin-coated on a silicon wafer, and then baked. The thicknesses were 240 nm and 340 nm, respectively. We used 100 / 13.56 MHz dual frequency superimposed (DFS) capacitive coupled plasma (CCP) system. Plasma etching conditions were as follows. The pressure was 10 mTorr, 100MHz RF power 700W, 13.56 MHz RF power 70W, substrate temperature 40°C, and the total flow rate of the gas mixture of carbon containing gas and Ar was 300sccm. We changed the flow rate ratio of carbon containing gas and measured the film thickness by ellipsometry measurement.

When the carbon-containing gas ratio was increased from 7% to 10 % and 13 %, both the PMMA and PS etch rates decreased. The etch rate of PMMA decreased slightly, from 42.4 to 39.6 and 38.2 nm/min, while the rate of PS decreased drastically, from 5.4 nm/min to 1.8 and 0.3 nm/min. As a result, very high selectivity could be obtained at 13 %. We assumed that carbon atom from carbon containing gas was absorbed on PS and PMMA films. The carbon atoms on PMMA film reacted with oxygen in the film and volatilized as CO or CO2. On the other hand, the carbon remained on PS film and protected etching. As a result, carbon containing gas plasma achieved highly selective PMMA etching.

Reference

[1] C. Bencher et al., Proc. SPIE 7970, 79700F (2011)

9:40 AM PS-MoM-5 New Fluorocarbon Free Chemistry Proposed As Solution to Limit Porous SiOCH Film Modification during Etching
Nicolas Posseme (CEA-LETI, France); Laurent Vallier (CNRS-LTM, France); C.-L. Kao (AMAT); Christophe Licitra (CEA-LETI, France); Cedric Mannequin (CNRS-LTM, France); Jeremhia Pender, Srinivas Nemani (AMAT)

Today etching processes involved during the porous SiOCH (p-SiOCH) integration combined with a metallic hard mask (MHM) generate serious issues such as film damage, residue growth, bottom line roughness. The last critical issue we are facing today is that the wet cleaning (HF based chemistry) is not efficient enough to remove all the fluorocabon layer formed on sidewall during etching. Fluorine is remaining on sidewall after wet cleaning. This Fluorine is encapsulated during the metal barrier deposition (prior to copper deposition) and can lead to reliability degradation of the p-SiOCH film. Today there is no solution to this problem except the use of aggressive wet cleaning, inducing in this case important CD loss. In this context we developed a new fluorocarbon (FC) free chemistry solving these issues.

In this work, p-SiOCH (deposited by PECVD, composition: Si 28%, O 29%, C 43%, k= 2.5, porosity <30 %) film modification has been studied using patterned and floating coupons (deposit/film modification formed on the floating sample gives a rough estimation of passivation layer formed on the sidewalls) and characterized by ellipsometry porosimetry (EP), infrared spectroscopy in attenuated total reflection configuration (ATR), X-ray photoelectron spectroscopy (XPS) and dielectric constant measurement comparing the new FC free chemistry to conventional FC (CF4/C4F8/N2/Ar) chemistry.

It will be demonstrated that the FC free etch chemistry presents a wide process window to adjust the taper profile by playing on plasma parameters with a hardly impact on p-SiOCH film modification (+4% k value increase compared to +16% with conventional FC chemistry, correlated with ATR analyses). The efficiency of wet cleaning to fully remove the passivation layer formed on sidewalls without degrading the profile will also be presented.

10:00 AM PS-MoM-6 Characterization of the Effects of Mildly Oxidizing Chemistries on Silicon Oxidation for Advanced Photoresist-Strip Applications
Bayu Thedjoisworo, Brad Jacobs, Ivan Berry, David Cheung, Joon Park (Lam Research)
For the advanced technology nodes, there is a need to strip photoresist quickly while meeting the stringent requirement of ultra-low oxidation and loss of the semiconductor substrate, silicon (Si). Two chemistries, NH3/O2 and H2/N2, have garnered attention for their ability to strip photoresist reasonably quickly while incurring low material loss. In this work, Si surfaces were exposed to either downstream NH3/O2 or H2/N2 plasmas, and the effects of these chemistries on Si oxidation were characterized and compared. For the NH3/O2 chemistry, Si oxidation was found to occur during the plasma-exposure step, while the extent of post-plasma oxidation was determined to be relatively minor. Accordingly, we evaluated the behaviors of Si oxidation as a function of plasma process parameters, and substrate temperature and NH3 concentration in the gas feed were determined to exert strong effects on Si oxidation. Specifically, oxidation decreases with increasing temperature and with increasing NH3 concentration. These process trends provide insight into the mechanism of the Si oxidation as well as to the nature of the radicals that induce the oxidation. Furthermore, the above finding demonstrated that Si oxidation can be controlled through judicious choice of the process parameters. When compared to the NH3/O2 (90% NH3) chemistry, the H2/N2 chemistry generally led to lower Si oxide growth. Although the H2/N2 chemistry gives rise to lower Si oxidation, the NH3/O2 could offer other advantages, such as higher ash rate and better photoresist-residue performance. Therefore, the desired trade-offs among ash rate, residue performance, and level of substrate oxidation will ultimately govern the choice between the two chemistries.
10:20 AM BREAK
10:40 AM PS-MoM-8 Challenges in Etching of Multicomponent Oxides and Other Difficult-To-Etch Materials
Joëlle Margot (Université de Montréal, Canada); Mohamed Chaker (INRS, Canada)

Despite its successful implementation in industry, etching was mainly evolved empirically. Very often recipes are developed by users for specific materials. However the absence of actual scientific investigation considerably limits technology transfer. Therefore, except for a few classical materials like Si et SiO2, only a limited amount of publications is available for unconventional materials used for example in microelectronics, photonics and telecommunications. Among these more or less exotic materials let us mention ferroelectric materials (PLZT, BST, SBT), electro-optic materials (SrTiO3, LiNbO3, CaBaNb2O6), metal-insulator transition materials (VO2), and unconventional conductors (Pt, IrO2, ITO, LaNiO3).Optimizing etching processes for such materials is difficult as most of them present a low reactivity with usual etching gases such as fluorinated and chlorinated gases. Their etching is mainly governed by ion sputtering and the reactive gases forming the plasma sometimes interact with materials surface to form compounds that inhibit etching.

In this presentation, we will review the work performed by our group over the last decade on the etching of multicomponent oxides, with a particular focus on the etching of SrTiO3 andCaBaNb2O6. We will also show how simulation can provide information on the redeposition of sputtered species on patterned surfaces, taking as an example the case of an unconventional conductor.

11:20 AM PS-MoM-10 Thermodynamic Approach to Select Viable Etch Chemistry for Magnetic Metals
Taeseung Kim, Kun-Chieh Chen, Jane Chang (University of California at Los Angeles)

Magnetic tunnel junctions (MTJ) which are based on magnetic hysteresis for data storage are an important part of spin-electronics. An MTJ-based magnetoresisitive random-access memory (MRAM) has several advantages, such as nonvolatility, fast writing speeds (2-4 ns). Thus MRAM has the potential to be a universal memory solution. In past few years, some important progress has been reported to fabricate durable, high-yield MTJ arrays, using the advances in materials.

Among the challenges of fabrication, MTJ etching processes is one of the most critical. Ion beam etching was a general etching technique at the beginning of MTJ fabrication, however the etched material tends to re-deposit on the sidewalls and form fences. The approach using a reactive ion etch (RIE) has been recognized as an important strategy for integrating MRAM because it can potentially generate volatile etch products to avoid sidewall re-deposition. Halogen-gas-based RIE processes have been used to etch ferromagnetic layers. Some chemical enhancement induced by RIE has been reported for NiFe and NiFeCo using Cl2 gases,[1] however the low etch rate requires high-density plasma conditions.

In this work, a thermodynamic approach is used to assess the feasibility of various etch chemistries, beginning with the consideration of reactions between the dominant vapor phase/condensed species and the surface at various temperatures and reactant partial pressures. The volatility of etch product was determined to aid the selection of viable etch chemistry leading to improved etch rate of RIE process.[2] In this report, a few magnetic metals are considered (Co, Fe, and Ni) along with various halogen and organometallic based chemistries. The thermodynamically favorable reaction has been investigated and the vapor pressure of its product has been calculated. In addition, the vapor pressure enhancement induced by adding secondary gas such as hydrogen has also been studied. Experimental validation is an important part to prove the prediction.

[1] K.B. Jung et al., J. Electron. Matet., 27, 972 (1998)

[2] N.S. Kulkarni et al., J. Electro. Soc., 149 , G620 (2002)

11:40 AM PS-MoM-11 Studies on Highly Selective Si3N4 Spacer Etching over Si/SiO2 using CH3F/O2 Plasmas
Blake Parkinson, Angelique Raley, Alok Ranjan, Kaushik Kumar, Peter Biolsi (TEL Technology Center, America, LLC)
Recent advancements in device scaling have led to the widespread introduction of 3-D gate structures (i.e. FINFET, tri-gate). Introduction of 3D structures has increased the challenges of spacer etching. Planner gate structures typically require shorter over -etches (10-30%) and thus requirement of selectivity of spacer film over underlying films (Si and SiO2) is not as stringent as for 3-D structures. In the case of 3D gate structures, the spacer film will also surround the Si-fins. Surface of Si-fins must be pristine (residue-free and without surface modification) in order for epitaxial silicon growth. Complete removal of SiN around Si-fins typically requires over-etches ranging from 150 to 300%. This dramatic increase in OE time requires an increase in spacer film selectivity to Silicon (fins) and Silicon Oxide (gate-mask and isolation Oxide). CH3F/O2 based etching chemistries are typically used to achieve high Nitride-to-Oxide and Nitride-to-Silicon selectivity. This paper explores a CH3F/O2 chemistry created in a RLSATM plasma reactor. The impact of block photoresist masking on oxidation etching mechanisms is presented. An analysis of plasma characteristics using Optical Emission Spectroscopy (OES) is also provided. Experimental results indicate a correlation between ion energy (derived from peak-to-peak voltage, Vpp), passivation over SiN/Si/SiO2 (derived from gas-phase radical concentration) and selectivity. Microwave power and pressure provides control of relative concentrations of etchants/passivants (via control of electron energy distribution) and ion energies can be tuned by bias power. High Nitride-to-Oxide selectivity and minimal Si-and SiO2-loss can be effectively achieved by balancing passivation layer on SiN/Si/SiO2 and tuning Microwave Power and pressure to provide optimal Vpp.
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2013 Schedule